aboutsummaryrefslogtreecommitdiff
path: root/CarpetAttic/Cart3dTest/test/symmetric-z/vy.zl
diff options
context:
space:
mode:
Diffstat (limited to 'CarpetAttic/Cart3dTest/test/symmetric-z/vy.zl')
-rw-r--r--CarpetAttic/Cart3dTest/test/symmetric-z/vy.zl52
1 files changed, 30 insertions, 22 deletions
diff --git a/CarpetAttic/Cart3dTest/test/symmetric-z/vy.zl b/CarpetAttic/Cart3dTest/test/symmetric-z/vy.zl
index 36c2d5840..5b2cfc59b 100644
--- a/CarpetAttic/Cart3dTest/test/symmetric-z/vy.zl
+++ b/CarpetAttic/Cart3dTest/test/symmetric-z/vy.zl
@@ -1,26 +1,34 @@
+# CART3DTEST::vy z (vy)
+#
+# iteration 0
+# time level 0 refinement level 0 component 0 multigrid level 0
+# column format: it tl rl c ml x y z data
+0 0 0 0 0 5 5 0 12050500
+0 0 0 0 0 5 5 1 12050501
+0 0 0 0 0 5 5 2 12050502
+0 0 0 0 0 5 5 3 12050503
+0 0 0 0 0 5 5 4 12050504
+0 0 0 0 0 5 5 5 12050505
+0 0 0 0 0 5 5 6 12050506
+0 0 0 0 0 5 5 7 12050507
+0 0 0 0 0 5 5 8 12050508
+0 0 0 0 0 5 5 9 12050509
-"Time = 0.000000
--0.450000 12040400.0000000000000
--0.150000 12040401.0000000000000
-0.150000 12040402.0000000000000
-0.450000 12040403.0000000000000
-0.750000 12040404.0000000000000
-1.050000 12040405.0000000000000
-1.350000 12040406.0000000000000
-1.650000 12040407.0000000000000
-1.950000 12040408.0000000000000
-2.250000 12040409.0000000000000
+
+# iteration 1
+# time level 0 refinement level 0 component 0 multigrid level 0
+# column format: it tl rl c ml x y z data
+1 0 0 0 0 5 5 0 12050503
+1 0 0 0 0 5 5 1 12050502
+1 0 0 0 0 5 5 2 12050502
+1 0 0 0 0 5 5 3 12050503
+1 0 0 0 0 5 5 4 12050504
+1 0 0 0 0 5 5 5 12050505
+1 0 0 0 0 5 5 6 12050506
+1 0 0 0 0 5 5 7 12050507
+1 0 0 0 0 5 5 8 12050508
+1 0 0 0 0 5 5 9 12050509
+
-"Time = 1.000000
--0.450000 12040403.0000000000000
--0.150000 12040402.0000000000000
-0.150000 12040402.0000000000000
-0.450000 12040403.0000000000000
-0.750000 12040404.0000000000000
-1.050000 12040405.0000000000000
-1.350000 12040406.0000000000000
-1.650000 12040407.0000000000000
-1.950000 12040408.0000000000000
-2.250000 12040409.0000000000000