aboutsummaryrefslogtreecommitdiff
path: root/CarpetAttic/Cart3dTest/test/symmetric-y/vz.yl
diff options
context:
space:
mode:
Diffstat (limited to 'CarpetAttic/Cart3dTest/test/symmetric-y/vz.yl')
-rw-r--r--CarpetAttic/Cart3dTest/test/symmetric-y/vz.yl52
1 files changed, 30 insertions, 22 deletions
diff --git a/CarpetAttic/Cart3dTest/test/symmetric-y/vz.yl b/CarpetAttic/Cart3dTest/test/symmetric-y/vz.yl
index 306d1de6b..d30c882cf 100644
--- a/CarpetAttic/Cart3dTest/test/symmetric-y/vz.yl
+++ b/CarpetAttic/Cart3dTest/test/symmetric-y/vz.yl
@@ -1,26 +1,34 @@
+# CART3DTEST::vz y (vz)
+#
+# iteration 0
+# time level 0 refinement level 0 component 0 multigrid level 0
+# column format: it tl rl c ml x y z data
+0 0 0 0 0 5 0 5 13050005
+0 0 0 0 0 5 1 5 13050105
+0 0 0 0 0 5 2 5 13050205
+0 0 0 0 0 5 3 5 13050305
+0 0 0 0 0 5 4 5 13050405
+0 0 0 0 0 5 5 5 13050505
+0 0 0 0 0 5 6 5 13050605
+0 0 0 0 0 5 7 5 13050705
+0 0 0 0 0 5 8 5 13050805
+0 0 0 0 0 5 9 5 13050905
-"Time = 0.000000
--0.150000 13040004.0000000000000
-0.150000 13040104.0000000000000
-0.450000 13040204.0000000000000
-0.750000 13040304.0000000000000
-1.050000 13040404.0000000000000
-1.350000 13040504.0000000000000
-1.650000 13040604.0000000000000
-1.950000 13040704.0000000000000
-2.250000 13040804.0000000000000
-2.550000 13040904.0000000000000
+
+# iteration 1
+# time level 0 refinement level 0 component 0 multigrid level 0
+# column format: it tl rl c ml x y z data
+1 0 0 0 0 5 0 5 13050105
+1 0 0 0 0 5 1 5 13050105
+1 0 0 0 0 5 2 5 13050205
+1 0 0 0 0 5 3 5 13050305
+1 0 0 0 0 5 4 5 13050405
+1 0 0 0 0 5 5 5 13050505
+1 0 0 0 0 5 6 5 13050605
+1 0 0 0 0 5 7 5 13050705
+1 0 0 0 0 5 8 5 13050805
+1 0 0 0 0 5 9 5 13050905
+
-"Time = 1.000000
--0.150000 13040104.0000000000000
-0.150000 13040104.0000000000000
-0.450000 13040204.0000000000000
-0.750000 13040304.0000000000000
-1.050000 13040404.0000000000000
-1.350000 13040504.0000000000000
-1.650000 13040604.0000000000000
-1.950000 13040704.0000000000000
-2.250000 13040804.0000000000000
-2.550000 13040904.0000000000000