aboutsummaryrefslogtreecommitdiff
path: root/CarpetAttic/Cart3dTest/test/symmetric-x/az.xl
diff options
context:
space:
mode:
Diffstat (limited to 'CarpetAttic/Cart3dTest/test/symmetric-x/az.xl')
-rw-r--r--CarpetAttic/Cart3dTest/test/symmetric-x/az.xl52
1 files changed, 22 insertions, 30 deletions
diff --git a/CarpetAttic/Cart3dTest/test/symmetric-x/az.xl b/CarpetAttic/Cart3dTest/test/symmetric-x/az.xl
index 9c2733464..f1e681009 100644
--- a/CarpetAttic/Cart3dTest/test/symmetric-x/az.xl
+++ b/CarpetAttic/Cart3dTest/test/symmetric-x/az.xl
@@ -1,34 +1,26 @@
-# CART3DTEST::az x (az)
-#
-# iteration 0
-# time level 0 refinement level 0 component 0 multigrid level 0
-# column format: it tl rl c ml x y z data
-0 0 0 0 0 0 5 5 33000505
-0 0 0 0 0 1 5 5 33010505
-0 0 0 0 0 2 5 5 33020505
-0 0 0 0 0 3 5 5 33030505
-0 0 0 0 0 4 5 5 33040505
-0 0 0 0 0 5 5 5 33050505
-0 0 0 0 0 6 5 5 33060505
-0 0 0 0 0 7 5 5 33070505
-0 0 0 0 0 8 5 5 33080505
-0 0 0 0 0 9 5 5 33090505
-
-# iteration 1
-# time level 0 refinement level 0 component 0 multigrid level 0
-# column format: it tl rl c ml x y z data
-1 0 0 0 0 0 5 5 33000505
-1 0 0 0 0 1 5 5 33010505
-1 0 0 0 0 2 5 5 33020505
-1 0 0 0 0 3 5 5 33030505
-1 0 0 0 0 4 5 5 33040505
-1 0 0 0 0 5 5 5 33050505
-1 0 0 0 0 6 5 5 33060505
-1 0 0 0 0 7 5 5 33070505
-1 0 0 0 0 8 5 5 33080505
-1 0 0 0 0 9 5 5 33090505
-
+"Time = 0.000000
+0.150000 33000404.0000000000000
+0.450000 33010404.0000000000000
+0.750000 33020404.0000000000000
+1.050000 33030404.0000000000000
+1.350000 33040404.0000000000000
+1.650000 33050404.0000000000000
+1.950000 33060404.0000000000000
+2.250000 33070404.0000000000000
+2.550000 33080404.0000000000000
+2.850000 33090404.0000000000000
+"Time = 1.000000
+0.150000 33000404.0000000000000
+0.450000 33010404.0000000000000
+0.750000 33020404.0000000000000
+1.050000 33030404.0000000000000
+1.350000 33040404.0000000000000
+1.650000 33050404.0000000000000
+1.950000 33060404.0000000000000
+2.250000 33070404.0000000000000
+2.550000 33080404.0000000000000
+2.850000 33090404.0000000000000