aboutsummaryrefslogtreecommitdiff
path: root/CarpetAttic/Cart3dTest/test/periodic/txy.xl
diff options
context:
space:
mode:
Diffstat (limited to 'CarpetAttic/Cart3dTest/test/periodic/txy.xl')
-rw-r--r--CarpetAttic/Cart3dTest/test/periodic/txy.xl52
1 files changed, 30 insertions, 22 deletions
diff --git a/CarpetAttic/Cart3dTest/test/periodic/txy.xl b/CarpetAttic/Cart3dTest/test/periodic/txy.xl
index d6312820e..c0a04db3d 100644
--- a/CarpetAttic/Cart3dTest/test/periodic/txy.xl
+++ b/CarpetAttic/Cart3dTest/test/periodic/txy.xl
@@ -1,26 +1,34 @@
+# CART3DTEST::txy x (txy)
+#
+# iteration 0
+# time level 0 refinement level 0 component 0 multigrid level 0
+# column format: it tl rl c ml x y z data
+0 0 0 0 0 0 5 5 22000505
+0 0 0 0 0 1 5 5 22010505
+0 0 0 0 0 2 5 5 22020505
+0 0 0 0 0 3 5 5 22030505
+0 0 0 0 0 4 5 5 22040505
+0 0 0 0 0 5 5 5 22050505
+0 0 0 0 0 6 5 5 22060505
+0 0 0 0 0 7 5 5 22070505
+0 0 0 0 0 8 5 5 22080505
+0 0 0 0 0 9 5 5 22090505
-"Time = 0.000000
--1.350000 22000404.0000000000000
--1.050000 22010404.0000000000000
--0.750000 22020404.0000000000000
--0.450000 22030404.0000000000000
--0.150000 22040404.0000000000000
-0.150000 22050404.0000000000000
-0.450000 22060404.0000000000000
-0.750000 22070404.0000000000000
-1.050000 22080404.0000000000000
-1.350000 22090404.0000000000000
+
+# iteration 1
+# time level 0 refinement level 0 component 0 multigrid level 0
+# column format: it tl rl c ml x y z data
+1 0 0 0 0 0 5 5 22000505
+1 0 0 0 0 1 5 5 22010505
+1 0 0 0 0 2 5 5 22020505
+1 0 0 0 0 3 5 5 22030505
+1 0 0 0 0 4 5 5 22040505
+1 0 0 0 0 5 5 5 22050505
+1 0 0 0 0 6 5 5 22060505
+1 0 0 0 0 7 5 5 22070505
+1 0 0 0 0 8 5 5 22080505
+1 0 0 0 0 9 5 5 22090505
+
-"Time = 1.000000
--1.350000 22000404.0000000000000
--1.050000 22010404.0000000000000
--0.750000 22020404.0000000000000
--0.450000 22030404.0000000000000
--0.150000 22040404.0000000000000
-0.150000 22050404.0000000000000
-0.450000 22060404.0000000000000
-0.750000 22070404.0000000000000
-1.050000 22080404.0000000000000
-1.350000 22090404.0000000000000