aboutsummaryrefslogtreecommitdiff
path: root/test/test_axioddbh/gzz.yl
blob: ca519418028eb14bc55ae52869575a93519884a4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
"Time = 0.000000
-6.200000		1.0000000000000
-5.800000		1.0000000000000
-5.400000		1.0000000000000
-5.000000		1.0000000000000
-4.600000		1.0000000000000
-4.200000		1.0000000000000
-3.800000		1.0000000000000
-3.400000		1.0000000000000
-3.000000		1.0000000000000
-2.600000		1.0000000000000
-2.200000		1.0000000000000
-1.800000		1.0000000000000
-1.400000		1.0000000000000
-1.000000		1.0000000000000
-0.600000		1.0000000000000
-0.200000		1.0000000000000
0.200000		1.0000000000000
0.600000		1.0000000000000
1.000000		1.0000000000000
1.400000		1.0000000000000
1.800000		1.0000000000000
2.200000		1.0000000000000
2.600000		1.0000000000000
3.000000		1.0000000000000
3.400000		1.0000000000000
3.800000		1.0000000000000
4.200000		1.0000000000000
4.600000		1.0000000000000
5.000000		1.0000000000000
5.400000		1.0000000000000
5.800000		1.0000000000000
6.200000		1.0000000000000


"Time = 0.100000
-6.200000		1.0000000000000
-5.800000		0.9999770975204
-5.400000		0.9999759996831
-5.000000		0.9999747775367
-4.600000		0.9999729680461
-4.200000		0.9999695980175
-3.800000		0.9999631723211
-3.400000		0.9999524854387
-3.000000		0.9999392049771
-2.600000		0.9999323403542
-2.200000		0.9999495551962
-1.800000		0.9999961200600
-1.400000		1.0000116226141
-1.000000		0.9999457445253
-0.600000		1.0000682457380
-0.200000		1.0000239335914
0.200000		1.0000239335914
0.600000		1.0000682457380
1.000000		0.9999457445253
1.400000		1.0000116226141
1.800000		0.9999961200600
2.200000		0.9999495551962
2.600000		0.9999323403542
3.000000		0.9999392049771
3.400000		0.9999524854387
3.800000		0.9999631723211
4.200000		0.9999695980175
4.600000		0.9999729680461
5.000000		0.9999747775367
5.400000		0.9999759996831
5.800000		0.9999770975204
6.200000		1.0000000000000