aboutsummaryrefslogtreecommitdiff
path: root/test/test_dbh/gyz.dl
blob: 6dd273b05bc75db6b35d20e400f9a633c5e21b3e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
"Time = 0.000000
-10.738715		0.0000000000000
-10.045895		0.0000000000000
-9.353074		0.0000000000000
-8.660254		0.0000000000000
-7.967434		0.0000000000000
-7.274613		0.0000000000000
-6.581793		0.0000000000000
-5.888973		0.0000000000000
-5.196152		0.0000000000000
-4.503332		0.0000000000000
-3.810512		0.0000000000000
-3.117691		0.0000000000000
-2.424871		0.0000000000000
-1.732051		0.0000000000000
-1.039230		0.0000000000000
-0.346410		0.0000000000000
0.346410		0.0000000000000
1.039230		0.0000000000000
1.732051		0.0000000000000
2.424871		0.0000000000000
3.117691		0.0000000000000
3.810512		0.0000000000000
4.503332		0.0000000000000
5.196152		0.0000000000000
5.888973		0.0000000000000
6.581793		0.0000000000000
7.274613		0.0000000000000
7.967434		0.0000000000000
8.660254		0.0000000000000
9.353074		0.0000000000000
10.045895		0.0000000000000
10.738715		0.0000000000000


"Time = 0.100000
-10.738715		0.0000000000000
-10.045895		0.0000116279960
-9.353074		0.0000138093392
-8.660254		0.0000165646341
-7.967434		0.0000200894221
-7.274613		0.0000246404546
-6.581793		0.0000306114007
-5.888973		0.0000385233169
-5.196152		0.0000490654988
-4.503332		0.0000630742832
-3.810512		0.0000811778853
-3.117691		0.0001026460105
-2.424871		0.0001218357320
-1.732051		0.0001233488328
-1.039230		0.0001098046430
-0.346410		0.0001130665027
0.346410		0.0001139566801
1.039230		0.0001126044876
1.732051		0.0001217269406
2.424871		0.0001189228637
3.117691		0.0001000718409
3.810512		0.0000792755243
4.503332		0.0000617485376
5.196152		0.0000481558045
5.888973		0.0000378969024
6.581793		0.0000301747525
7.274613		0.0000243312417
7.967434		0.0000198668614
8.660254		0.0000164014971
9.353074		0.0000136878395
10.045895		0.0000115361356
10.738715		0.0000000000000