aboutsummaryrefslogtreecommitdiff
path: root/test/test_dbh/gxz.dl
blob: d8a777acdd599ba4a21809110e7438fe0d8214a5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
"Time = 0.000000
-10.738715		0.0000000000000
-10.045895		0.0000000000000
-9.353074		0.0000000000000
-8.660254		0.0000000000000
-7.967434		0.0000000000000
-7.274613		0.0000000000000
-6.581793		0.0000000000000
-5.888973		0.0000000000000
-5.196152		0.0000000000000
-4.503332		0.0000000000000
-3.810512		0.0000000000000
-3.117691		0.0000000000000
-2.424871		0.0000000000000
-1.732051		0.0000000000000
-1.039230		0.0000000000000
-0.346410		0.0000000000000
0.346410		0.0000000000000
1.039230		0.0000000000000
1.732051		0.0000000000000
2.424871		0.0000000000000
3.117691		0.0000000000000
3.810512		0.0000000000000
4.503332		0.0000000000000
5.196152		0.0000000000000
5.888973		0.0000000000000
6.581793		0.0000000000000
7.274613		0.0000000000000
7.967434		0.0000000000000
8.660254		0.0000000000000
9.353074		0.0000000000000
10.045895		0.0000000000000
10.738715		0.0000000000000


"Time = 0.100000
-10.738715		0.0000000000000
-10.045895		0.0000116762174
-9.353074		0.0000138960658
-8.660254		0.0000167173612
-7.967434		0.0000203565359
-7.274613		0.0000251072818
-6.581793		0.0000314352316
-5.888973		0.0000399966582
-5.196152		0.0000517427842
-4.503332		0.0000680255341
-3.810512		0.0000904608102
-3.117691		0.0001200462493
-2.424871		0.0001531310565
-1.732051		0.0001713358804
-1.039230		0.0001473782355
-0.346410		0.0001102895546
0.346410		0.0001103175815
1.039230		0.0001542179657
1.732051		0.0001749223998
2.424871		0.0001538323464
3.117691		0.0001196719185
3.810512		0.0000898729029
4.503332		0.0000674984216
5.196152		0.0000513319922
5.888973		0.0000396915584
6.581793		0.0000312119564
7.274613		0.0000249438131
7.967434		0.0000202360353
8.660254		0.0000166275293
9.353074		0.0000138283131
10.045895		0.0000116245039
10.738715		0.0000000000000