aboutsummaryrefslogtreecommitdiff
path: root/test/test_dbh/alp.dl
blob: d775baeb341794b1430166b7385cbc5340313837 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
"Time = 0.000000
-10.738715		1.0000000000000
-10.045895		1.0000000000000
-9.353074		1.0000000000000
-8.660254		1.0000000000000
-7.967434		1.0000000000000
-7.274613		1.0000000000000
-6.581793		1.0000000000000
-5.888973		1.0000000000000
-5.196152		1.0000000000000
-4.503332		1.0000000000000
-3.810512		1.0000000000000
-3.117691		1.0000000000000
-2.424871		1.0000000000000
-1.732051		1.0000000000000
-1.039230		1.0000000000000
-0.346410		1.0000000000000
0.346410		1.0000000000000
1.039230		1.0000000000000
1.732051		1.0000000000000
2.424871		1.0000000000000
3.117691		1.0000000000000
3.810512		1.0000000000000
4.503332		1.0000000000000
5.196152		1.0000000000000
5.888973		1.0000000000000
6.581793		1.0000000000000
7.274613		1.0000000000000
7.967434		1.0000000000000
8.660254		1.0000000000000
9.353074		1.0000000000000
10.045895		1.0000000000000
10.738715		1.0000000000000


"Time = 0.100000
-10.738715		1.0000000000000
-10.045895		1.0000000000000
-9.353074		1.0000000000000
-8.660254		1.0000000000000
-7.967434		1.0000000000000
-7.274613		1.0000000000000
-6.581793		1.0000000000000
-5.888973		1.0000000000000
-5.196152		1.0000000000000
-4.503332		1.0000000000000
-3.810512		1.0000000000000
-3.117691		1.0000000000000
-2.424871		1.0000000000000
-1.732051		1.0000000000000
-1.039230		1.0000000000000
-0.346410		1.0000000000000
0.346410		1.0000000000000
1.039230		1.0000000000000
1.732051		1.0000000000000
2.424871		1.0000000000000
3.117691		1.0000000000000
3.810512		1.0000000000000
4.503332		1.0000000000000
5.196152		1.0000000000000
5.888973		1.0000000000000
6.581793		1.0000000000000
7.274613		1.0000000000000
7.967434		1.0000000000000
8.660254		1.0000000000000
9.353074		1.0000000000000
10.045895		1.0000000000000
10.738715		1.0000000000000