aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorpollney <pollney@eec4d7dc-71c2-46d6-addf-10296150bf52>2002-05-22 21:10:54 +0000
committerpollney <pollney@eec4d7dc-71c2-46d6-addf-10296150bf52>2002-05-22 21:10:54 +0000
commit828009108230eea68d63171da26a6373797a28c9 (patch)
tree4bb7ee11d2223b857b8136d9c8fe078fe50abf5d
parent6a8e9ee1a2b2732bce329939a370dfaa7062ac37 (diff)
Removing obsolete test_cartoon_1.
git-svn-id: http://svn.cactuscode.org/arrangements/CactusNumerical/Cartoon2D/trunk@40 eec4d7dc-71c2-46d6-addf-10296150bf52
-rw-r--r--test/test_cartoon_1.par89
-rw-r--r--test/test_cartoon_1/ADM_BS_Gx.dl16
-rw-r--r--test/test_cartoon_1/ADM_BS_Gx.xl44
-rw-r--r--test/test_cartoon_1/ADM_BS_Gx.yl16
-rw-r--r--test/test_cartoon_1/ADM_BS_Gx.zl44
-rw-r--r--test/test_cartoon_1/ADM_BS_Gx_max.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_Gx_min.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_Gx_nm1.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_Gx_nm2.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_Gy.dl16
-rw-r--r--test/test_cartoon_1/ADM_BS_Gy.xl44
-rw-r--r--test/test_cartoon_1/ADM_BS_Gy.yl16
-rw-r--r--test/test_cartoon_1/ADM_BS_Gy.zl44
-rw-r--r--test/test_cartoon_1/ADM_BS_Gy_max.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_Gy_min.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_Gy_nm1.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_Gy_nm2.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_Gz.dl16
-rw-r--r--test/test_cartoon_1/ADM_BS_Gz.xl44
-rw-r--r--test/test_cartoon_1/ADM_BS_Gz.yl16
-rw-r--r--test/test_cartoon_1/ADM_BS_Gz.zl44
-rw-r--r--test/test_cartoon_1/ADM_BS_Gz_max.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_Gz_min.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_Gz_nm1.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_Gz_nm2.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_K.dl16
-rw-r--r--test/test_cartoon_1/ADM_BS_K.xl44
-rw-r--r--test/test_cartoon_1/ADM_BS_K.yl16
-rw-r--r--test/test_cartoon_1/ADM_BS_K.zl44
-rw-r--r--test/test_cartoon_1/ADM_BS_K_max.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_K_min.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_K_nm1.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_K_nm2.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_gxx.dl16
-rw-r--r--test/test_cartoon_1/ADM_BS_gxx.xl44
-rw-r--r--test/test_cartoon_1/ADM_BS_gxx.yl16
-rw-r--r--test/test_cartoon_1/ADM_BS_gxx.zl44
-rw-r--r--test/test_cartoon_1/ADM_BS_gxx_max.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_gxx_min.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_gxx_nm1.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_gxx_nm2.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_phi.dl16
-rw-r--r--test/test_cartoon_1/ADM_BS_phi.xl44
-rw-r--r--test/test_cartoon_1/ADM_BS_phi.yl16
-rw-r--r--test/test_cartoon_1/ADM_BS_phi.zl44
-rw-r--r--test/test_cartoon_1/ADM_BS_phi_max.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_phi_min.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_phi_nm1.tl3
-rw-r--r--test/test_cartoon_1/ADM_BS_phi_nm2.tl3
-rw-r--r--test/test_cartoon_1/ahf.gauss438
-rw-r--r--test/test_cartoon_1/ahf_area.tl3
-rw-r--r--test/test_cartoon_1/ahf_asymx.tl3
-rw-r--r--test/test_cartoon_1/ahf_asymy.tl3
-rw-r--r--test/test_cartoon_1/ahf_asymz.tl3
-rw-r--r--test/test_cartoon_1/ahf_circ_eq.tl3
-rw-r--r--test/test_cartoon_1/ahf_coeff.alm21
-rw-r--r--test/test_cartoon_1/ahf_mass.tl3
-rw-r--r--test/test_cartoon_1/ahf_meri_p1.tl3
-rw-r--r--test/test_cartoon_1/ahf_meri_p2.tl3
-rw-r--r--test/test_cartoon_1/ahf_rad.tl3
-rw-r--r--test/test_cartoon_1/alp.dl16
-rw-r--r--test/test_cartoon_1/alp.xl44
-rw-r--r--test/test_cartoon_1/alp.yl16
-rw-r--r--test/test_cartoon_1/alp.zl44
-rw-r--r--test/test_cartoon_1/alp_max.tl3
-rw-r--r--test/test_cartoon_1/alp_min.tl3
-rw-r--r--test/test_cartoon_1/alp_nm1.tl3
-rw-r--r--test/test_cartoon_1/alp_nm2.tl3
-rw-r--r--test/test_cartoon_1/grr.dl16
-rw-r--r--test/test_cartoon_1/grr.xl44
-rw-r--r--test/test_cartoon_1/grr.yl16
-rw-r--r--test/test_cartoon_1/grr.zl44
-rw-r--r--test/test_cartoon_1/grr_max.tl3
-rw-r--r--test/test_cartoon_1/grr_min.tl3
-rw-r--r--test/test_cartoon_1/grr_nm1.tl3
-rw-r--r--test/test_cartoon_1/grr_nm2.tl3
-rw-r--r--test/test_cartoon_1/gxx.dl16
-rw-r--r--test/test_cartoon_1/gxx.xl44
-rw-r--r--test/test_cartoon_1/gxx.yl16
-rw-r--r--test/test_cartoon_1/gxx.zl44
-rw-r--r--test/test_cartoon_1/gxx_max.tl3
-rw-r--r--test/test_cartoon_1/gxx_min.tl3
-rw-r--r--test/test_cartoon_1/gxx_nm1.tl3
-rw-r--r--test/test_cartoon_1/gxx_nm2.tl3
-rw-r--r--test/test_cartoon_1/gxy.dl16
-rw-r--r--test/test_cartoon_1/gxy.xl44
-rw-r--r--test/test_cartoon_1/gxy.yl16
-rw-r--r--test/test_cartoon_1/gxy.zl44
-rw-r--r--test/test_cartoon_1/gxy_max.tl3
-rw-r--r--test/test_cartoon_1/gxy_min.tl3
-rw-r--r--test/test_cartoon_1/gxy_nm1.tl3
-rw-r--r--test/test_cartoon_1/gxy_nm2.tl3
-rw-r--r--test/test_cartoon_1/gxz.dl16
-rw-r--r--test/test_cartoon_1/gxz.xl44
-rw-r--r--test/test_cartoon_1/gxz.yl16
-rw-r--r--test/test_cartoon_1/gxz.zl44
-rw-r--r--test/test_cartoon_1/gxz_max.tl3
-rw-r--r--test/test_cartoon_1/gxz_min.tl3
-rw-r--r--test/test_cartoon_1/gxz_nm1.tl3
-rw-r--r--test/test_cartoon_1/gxz_nm2.tl3
-rw-r--r--test/test_cartoon_1/gyy.dl16
-rw-r--r--test/test_cartoon_1/gyy.xl44
-rw-r--r--test/test_cartoon_1/gyy.yl16
-rw-r--r--test/test_cartoon_1/gyy.zl44
-rw-r--r--test/test_cartoon_1/gyy_max.tl3
-rw-r--r--test/test_cartoon_1/gyy_min.tl3
-rw-r--r--test/test_cartoon_1/gyy_nm1.tl3
-rw-r--r--test/test_cartoon_1/gyy_nm2.tl3
-rw-r--r--test/test_cartoon_1/gyz.dl16
-rw-r--r--test/test_cartoon_1/gyz.xl44
-rw-r--r--test/test_cartoon_1/gyz.yl16
-rw-r--r--test/test_cartoon_1/gyz.zl44
-rw-r--r--test/test_cartoon_1/gyz_max.tl3
-rw-r--r--test/test_cartoon_1/gyz_min.tl3
-rw-r--r--test/test_cartoon_1/gyz_nm1.tl3
-rw-r--r--test/test_cartoon_1/gyz_nm2.tl3
-rw-r--r--test/test_cartoon_1/gzz.dl16
-rw-r--r--test/test_cartoon_1/gzz.xl44
-rw-r--r--test/test_cartoon_1/gzz.yl16
-rw-r--r--test/test_cartoon_1/gzz.zl44
-rw-r--r--test/test_cartoon_1/gzz_max.tl3
-rw-r--r--test/test_cartoon_1/gzz_min.tl3
-rw-r--r--test/test_cartoon_1/gzz_nm1.tl3
-rw-r--r--test/test_cartoon_1/gzz_nm2.tl3
-rw-r--r--test/test_cartoon_1/ham.dl16
-rw-r--r--test/test_cartoon_1/ham.xl44
-rw-r--r--test/test_cartoon_1/ham.yl16
-rw-r--r--test/test_cartoon_1/ham.zl44
-rw-r--r--test/test_cartoon_1/ham_max.tl3
-rw-r--r--test/test_cartoon_1/ham_min.tl3
-rw-r--r--test/test_cartoon_1/ham_nm1.tl3
-rw-r--r--test/test_cartoon_1/ham_nm2.tl3
-rw-r--r--test/test_cartoon_1/momx.dl16
-rw-r--r--test/test_cartoon_1/momx.xl44
-rw-r--r--test/test_cartoon_1/momx.yl16
-rw-r--r--test/test_cartoon_1/momx.zl44
-rw-r--r--test/test_cartoon_1/momx_max.tl3
-rw-r--r--test/test_cartoon_1/momx_min.tl3
-rw-r--r--test/test_cartoon_1/momx_nm1.tl3
-rw-r--r--test/test_cartoon_1/momx_nm2.tl3
-rw-r--r--test/test_cartoon_1/momy.dl16
-rw-r--r--test/test_cartoon_1/momy.xl44
-rw-r--r--test/test_cartoon_1/momy.yl16
-rw-r--r--test/test_cartoon_1/momy.zl44
-rw-r--r--test/test_cartoon_1/momy_max.tl3
-rw-r--r--test/test_cartoon_1/momy_min.tl3
-rw-r--r--test/test_cartoon_1/momy_nm1.tl3
-rw-r--r--test/test_cartoon_1/momy_nm2.tl3
-rw-r--r--test/test_cartoon_1/momz.dl16
-rw-r--r--test/test_cartoon_1/momz.xl44
-rw-r--r--test/test_cartoon_1/momz.yl16
-rw-r--r--test/test_cartoon_1/momz.zl44
-rw-r--r--test/test_cartoon_1/momz_max.tl3
-rw-r--r--test/test_cartoon_1/momz_min.tl3
-rw-r--r--test/test_cartoon_1/momz_nm1.tl3
-rw-r--r--test/test_cartoon_1/momz_nm2.tl3
-rw-r--r--test/test_cartoon_1/test_cartoon_1.par89
-rw-r--r--test/test_cartoon_1/trK.dl16
-rw-r--r--test/test_cartoon_1/trK.xl44
-rw-r--r--test/test_cartoon_1/trK.yl16
-rw-r--r--test/test_cartoon_1/trK.zl44
-rw-r--r--test/test_cartoon_1/trK_max.tl3
-rw-r--r--test/test_cartoon_1/trK_min.tl3
-rw-r--r--test/test_cartoon_1/trK_nm1.tl3
-rw-r--r--test/test_cartoon_1/trK_nm2.tl3
-rw-r--r--test/test_cartoon_2.par2
-rw-r--r--test/test_cartoon_3.par2
167 files changed, 2 insertions, 3174 deletions
diff --git a/test/test_cartoon_1.par b/test/test_cartoon_1.par
deleted file mode 100644
index c04adac..0000000
--- a/test/test_cartoon_1.par
+++ /dev/null
@@ -1,89 +0,0 @@
-#==============================================================================
-# Cartoon2D, using a bitant grid
-#==============================================================================
-
-ActiveThorns = "cartoon2d idanalyticbh einstein adm_bssn admconstraints ahfinder pughinterp boundary time pugh pughreduce pughslab cartgrid3d ioascii ioutil iobasic"
-
-#------------------------------------------------------------------------------
-# Run parameters for Cartoon
-#------------------------------------------------------------------------------
-
-# Cartoon
-cartoon2d::cartoon_active = "yes"
-cartoon2d::verbose = "yes"
-cartoon2d::stencil = "yes"
-cartoon2d::order = 3
-cartoon2d::allow_grid_resize = "yes"
-
-# This is for 2 processors, you have to
-# change manually by processor numbers.
-
-#driver::processor_topology = "manual"
-#driver::processor_topology_3d_x = 1
-#driver::processor_topology_3d_y = 1
-#driver::processor_topology_3d_z = 2
-
-# Grid
-
-driver::global_nx = 16
-driver::global_ny = 3
-driver::global_nz = 16
-
-driver::ghost_size_x = 2
-driver::ghost_size_y = 2
-driver::ghost_size_z = 2
-
-grid::avoid_originy = "no"
-
-grid::type = "byspacing"
-grid::domain = "bitant"
-grid::bitant_plane = "xy"
-grid::dxyz = 0.2
-
-time::dtfac = 0.25
-
-cactus::cctk_itlast = 1
-
-# MODEL
-
-einstein::initial_data = "schwarzschild"
-
-#--- Evolution
-einstein::evolution_system = "adm_bssn"
-adm_bssn::method = "leapfrog"
-adm_bssn::bound = "flat"
-adm_bssn::cartoon = "yes"
-admconstraints::cartoon = "yes"
-
-#--- gauge
-einstein::slicing = "1+log"
-
-#--- AHFinder.
-
-ahfinder::ahf_active = "yes"
-ahfinder::ahf_cartoon = "yes"
-
-ahfinder::ahf_phi = "no"
-ahfinder::ahf_octant = "high"
-
-ahfinder::ahf_lmax = 0
-
-# Interpolation.
-
-ahfinder::interpolation_order = 1
-
-#------------------------------------------------------------------------------
-# Output
-#------------------------------------------------------------------------------
-IO::outdir = "test_cartoon_1"
-IO::out_fileinfo = "none"
-
-IOBasic::outScalar_every = 1
-IOBasic::outScalar_vars = "admconstraints::hamiltonian admconstraints::momentum einstein::alp einstein::grr einstein::metric einstein::trace_of_K einstein::betax einstein::betay einstein::betaz adm_bssn::adm_bs_gxx adm_bssn::adm_bs_phi adm_bssn::ADM_BSSN_K adm_bssn::ADM_BSSN_gamma"
-
-IOASCII::out1D_every = 1
-IOASCII::out1D_vars = "admconstraints::hamiltonian admconstraints::momentum einstein::alp einstein::grr einstein::metric einstein::trace_of_K einstein::betax einstein::betay einstein::betaz adm_bssn::adm_bs_gxx adm_bssn::adm_bs_phi adm_bssn::ADM_BSSN_K adm_bssn::ADM_BSSN_gamma"
-
-IOBasic::outInfo_every = 1
-IOBasic::outInfo_vars = "einstein::alp adm_bssn::adm_bs_dtalp"
-#==============================================================================
diff --git a/test/test_cartoon_1/ADM_BS_Gx.dl b/test/test_cartoon_1/ADM_BS_Gx.dl
deleted file mode 100644
index 335c573..0000000
--- a/test/test_cartoon_1/ADM_BS_Gx.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 -0.0000000000000
--0.1732050807569 -0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gx.xl b/test/test_cartoon_1/ADM_BS_Gx.xl
deleted file mode 100644
index 50b6eed..0000000
--- a/test/test_cartoon_1/ADM_BS_Gx.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gx.yl b/test/test_cartoon_1/ADM_BS_Gx.yl
deleted file mode 100644
index 1e25429..0000000
--- a/test/test_cartoon_1/ADM_BS_Gx.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 -0.0000000000000
--0.2000000000000 -0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gx.zl b/test/test_cartoon_1/ADM_BS_Gx.zl
deleted file mode 100644
index 50b6eed..0000000
--- a/test/test_cartoon_1/ADM_BS_Gx.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gx_max.tl b/test/test_cartoon_1/ADM_BS_Gx_max.tl
deleted file mode 100644
index 3a15cac..0000000
--- a/test/test_cartoon_1/ADM_BS_Gx_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_Gx v time
-0.0000000000000 -0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gx_min.tl b/test/test_cartoon_1/ADM_BS_Gx_min.tl
deleted file mode 100644
index 3a15cac..0000000
--- a/test/test_cartoon_1/ADM_BS_Gx_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_Gx v time
-0.0000000000000 -0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gx_nm1.tl b/test/test_cartoon_1/ADM_BS_Gx_nm1.tl
deleted file mode 100644
index 37a6d90..0000000
--- a/test/test_cartoon_1/ADM_BS_Gx_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_Gx v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gx_nm2.tl b/test/test_cartoon_1/ADM_BS_Gx_nm2.tl
deleted file mode 100644
index 37a6d90..0000000
--- a/test/test_cartoon_1/ADM_BS_Gx_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_Gx v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gy.dl b/test/test_cartoon_1/ADM_BS_Gy.dl
deleted file mode 100644
index cfc1a9f..0000000
--- a/test/test_cartoon_1/ADM_BS_Gy.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 -0.0000000000000
--0.1732050807569 -0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 -0.0000000000000
--0.1732050807569 -0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gy.xl b/test/test_cartoon_1/ADM_BS_Gy.xl
deleted file mode 100644
index bc3cd4e..0000000
--- a/test/test_cartoon_1/ADM_BS_Gy.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 -0.0000000000000
--0.1000000000000 -0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gy.yl b/test/test_cartoon_1/ADM_BS_Gy.yl
deleted file mode 100644
index 1e25429..0000000
--- a/test/test_cartoon_1/ADM_BS_Gy.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 -0.0000000000000
--0.2000000000000 -0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gy.zl b/test/test_cartoon_1/ADM_BS_Gy.zl
deleted file mode 100644
index 50b6eed..0000000
--- a/test/test_cartoon_1/ADM_BS_Gy.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gy_max.tl b/test/test_cartoon_1/ADM_BS_Gy_max.tl
deleted file mode 100644
index fd80d6a..0000000
--- a/test/test_cartoon_1/ADM_BS_Gy_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_Gy v time
-0.0000000000000 -0.0000000000000
-0.0500000000000 -0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gy_min.tl b/test/test_cartoon_1/ADM_BS_Gy_min.tl
deleted file mode 100644
index fd80d6a..0000000
--- a/test/test_cartoon_1/ADM_BS_Gy_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_Gy v time
-0.0000000000000 -0.0000000000000
-0.0500000000000 -0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gy_nm1.tl b/test/test_cartoon_1/ADM_BS_Gy_nm1.tl
deleted file mode 100644
index 1a2925b..0000000
--- a/test/test_cartoon_1/ADM_BS_Gy_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_Gy v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gy_nm2.tl b/test/test_cartoon_1/ADM_BS_Gy_nm2.tl
deleted file mode 100644
index 1a2925b..0000000
--- a/test/test_cartoon_1/ADM_BS_Gy_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_Gy v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gz.dl b/test/test_cartoon_1/ADM_BS_Gz.dl
deleted file mode 100644
index 93a128e..0000000
--- a/test/test_cartoon_1/ADM_BS_Gz.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gz.xl b/test/test_cartoon_1/ADM_BS_Gz.xl
deleted file mode 100644
index 50b6eed..0000000
--- a/test/test_cartoon_1/ADM_BS_Gz.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gz.yl b/test/test_cartoon_1/ADM_BS_Gz.yl
deleted file mode 100644
index 1e25429..0000000
--- a/test/test_cartoon_1/ADM_BS_Gz.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 -0.0000000000000
--0.2000000000000 -0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gz.zl b/test/test_cartoon_1/ADM_BS_Gz.zl
deleted file mode 100644
index d82fe8d..0000000
--- a/test/test_cartoon_1/ADM_BS_Gz.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 -0.0000000000000
--0.1000000000000 -0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 -0.0000000000000
--0.1000000000000 -0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gz_max.tl b/test/test_cartoon_1/ADM_BS_Gz_max.tl
deleted file mode 100644
index 0b75126..0000000
--- a/test/test_cartoon_1/ADM_BS_Gz_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_Gz v time
-0.0000000000000 -0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gz_min.tl b/test/test_cartoon_1/ADM_BS_Gz_min.tl
deleted file mode 100644
index 0b75126..0000000
--- a/test/test_cartoon_1/ADM_BS_Gz_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_Gz v time
-0.0000000000000 -0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gz_nm1.tl b/test/test_cartoon_1/ADM_BS_Gz_nm1.tl
deleted file mode 100644
index eb73186..0000000
--- a/test/test_cartoon_1/ADM_BS_Gz_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_Gz v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_Gz_nm2.tl b/test/test_cartoon_1/ADM_BS_Gz_nm2.tl
deleted file mode 100644
index eb73186..0000000
--- a/test/test_cartoon_1/ADM_BS_Gz_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_Gz v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_K.dl b/test/test_cartoon_1/ADM_BS_K.dl
deleted file mode 100644
index 93a128e..0000000
--- a/test/test_cartoon_1/ADM_BS_K.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_K.xl b/test/test_cartoon_1/ADM_BS_K.xl
deleted file mode 100644
index 50b6eed..0000000
--- a/test/test_cartoon_1/ADM_BS_K.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_K.yl b/test/test_cartoon_1/ADM_BS_K.yl
deleted file mode 100644
index 75d0c5c..0000000
--- a/test/test_cartoon_1/ADM_BS_K.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_K.zl b/test/test_cartoon_1/ADM_BS_K.zl
deleted file mode 100644
index 50b6eed..0000000
--- a/test/test_cartoon_1/ADM_BS_K.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_K_max.tl b/test/test_cartoon_1/ADM_BS_K_max.tl
deleted file mode 100644
index 47bc86b..0000000
--- a/test/test_cartoon_1/ADM_BS_K_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_K v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_K_min.tl b/test/test_cartoon_1/ADM_BS_K_min.tl
deleted file mode 100644
index 47bc86b..0000000
--- a/test/test_cartoon_1/ADM_BS_K_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_K v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_K_nm1.tl b/test/test_cartoon_1/ADM_BS_K_nm1.tl
deleted file mode 100644
index 47bc86b..0000000
--- a/test/test_cartoon_1/ADM_BS_K_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_K v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_K_nm2.tl b/test/test_cartoon_1/ADM_BS_K_nm2.tl
deleted file mode 100644
index 47bc86b..0000000
--- a/test/test_cartoon_1/ADM_BS_K_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_K v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_gxx.dl b/test/test_cartoon_1/ADM_BS_gxx.dl
deleted file mode 100644
index 8b39e40..0000000
--- a/test/test_cartoon_1/ADM_BS_gxx.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 1.0000000000000
--0.1732050807569 1.0000000000000
-0.1732050807569 1.0000000000000
-0.5196152422707 1.0000000000000
-0.8660254037844 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 1.0000000000000
--0.1732050807569 1.0000000000000
-0.1732050807569 1.0000000000000
-0.5196152422707 1.0000000000000
-0.8660254037844 1.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_gxx.xl b/test/test_cartoon_1/ADM_BS_gxx.xl
deleted file mode 100644
index 294e3bc..0000000
--- a/test/test_cartoon_1/ADM_BS_gxx.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_gxx.yl b/test/test_cartoon_1/ADM_BS_gxx.yl
deleted file mode 100644
index c45c84a..0000000
--- a/test/test_cartoon_1/ADM_BS_gxx.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 1.0000000000000
--0.2000000000000 1.0000000000000
-0.0000000000000 1.0000000000000
-0.2000000000000 1.0000000000000
-0.4000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 1.0000000000000
--0.2000000000000 1.0000000000000
-0.0000000000000 1.0000000000000
-0.2000000000000 1.0000000000000
-0.4000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_gxx.zl b/test/test_cartoon_1/ADM_BS_gxx.zl
deleted file mode 100644
index 294e3bc..0000000
--- a/test/test_cartoon_1/ADM_BS_gxx.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_gxx_max.tl b/test/test_cartoon_1/ADM_BS_gxx_max.tl
deleted file mode 100644
index e1b95de..0000000
--- a/test/test_cartoon_1/ADM_BS_gxx_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_gxx v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_gxx_min.tl b/test/test_cartoon_1/ADM_BS_gxx_min.tl
deleted file mode 100644
index e1b95de..0000000
--- a/test/test_cartoon_1/ADM_BS_gxx_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_gxx v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_gxx_nm1.tl b/test/test_cartoon_1/ADM_BS_gxx_nm1.tl
deleted file mode 100644
index e1b95de..0000000
--- a/test/test_cartoon_1/ADM_BS_gxx_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_gxx v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_gxx_nm2.tl b/test/test_cartoon_1/ADM_BS_gxx_nm2.tl
deleted file mode 100644
index e1b95de..0000000
--- a/test/test_cartoon_1/ADM_BS_gxx_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_gxx v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_phi.dl b/test/test_cartoon_1/ADM_BS_phi.dl
deleted file mode 100644
index 93a128e..0000000
--- a/test/test_cartoon_1/ADM_BS_phi.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_phi.xl b/test/test_cartoon_1/ADM_BS_phi.xl
deleted file mode 100644
index 50b6eed..0000000
--- a/test/test_cartoon_1/ADM_BS_phi.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_phi.yl b/test/test_cartoon_1/ADM_BS_phi.yl
deleted file mode 100644
index 75d0c5c..0000000
--- a/test/test_cartoon_1/ADM_BS_phi.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_phi.zl b/test/test_cartoon_1/ADM_BS_phi.zl
deleted file mode 100644
index 50b6eed..0000000
--- a/test/test_cartoon_1/ADM_BS_phi.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_phi_max.tl b/test/test_cartoon_1/ADM_BS_phi_max.tl
deleted file mode 100644
index 4249897..0000000
--- a/test/test_cartoon_1/ADM_BS_phi_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_phi v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_phi_min.tl b/test/test_cartoon_1/ADM_BS_phi_min.tl
deleted file mode 100644
index 4249897..0000000
--- a/test/test_cartoon_1/ADM_BS_phi_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_phi v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_phi_nm1.tl b/test/test_cartoon_1/ADM_BS_phi_nm1.tl
deleted file mode 100644
index 4249897..0000000
--- a/test/test_cartoon_1/ADM_BS_phi_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_phi v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ADM_BS_phi_nm2.tl b/test/test_cartoon_1/ADM_BS_phi_nm2.tl
deleted file mode 100644
index 4249897..0000000
--- a/test/test_cartoon_1/ADM_BS_phi_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ADM_BS_phi v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/ahf.gauss b/test/test_cartoon_1/ahf.gauss
deleted file mode 100644
index a48c4b5..0000000
--- a/test/test_cartoon_1/ahf.gauss
+++ /dev/null
@@ -1,438 +0,0 @@
-# GAUSSIAN CURVATURE
-#
-# The data is written in a loop as:
-#
- # do i=1,ntheta
- # do j=1,nphi
-# write gaussian(i,j)
-# end do
-# end do
-#
-# theta and phi are subdivided uniformly
-# according to symmetries:
-#
-# phi=[0,2 pi] (refx=refy=.false.)
-# phi=[0,pi] (refx=.false., refy=.true.)
-# phi=[0,pi/2] (refx=refy=.true.)
-#
-# theta=[0,pi] (refz=.false.)
-# theta=[0,pi/2] (refz=.true.)
-#
-# refx = T
-# refy = T
-# refz = T
-#
-# ntheta = 101
-# nphi = 2
-
-# Time step 0
-# Time 0.000E+00
-# Call 1
- -1.067E+00
- -1.067E+00
- -1.066E+00
- -1.066E+00
- -1.062E+00
- -1.062E+00
- -1.058E+00
- -1.058E+00
- -1.051E+00
- -1.051E+00
- -1.043E+00
- -1.043E+00
- -1.033E+00
- -1.033E+00
- -1.022E+00
- -1.022E+00
- -1.009E+00
- -1.009E+00
- -9.948E-01
- -9.948E-01
- -9.790E-01
- -9.790E-01
- -9.616E-01
- -9.616E-01
- -8.981E-01
- -8.981E-01
- -8.260E-01
- -8.260E-01
- -7.578E-01
- -7.578E-01
- -6.940E-01
- -6.940E-01
- -6.350E-01
- -6.350E-01
- -5.812E-01
- -5.812E-01
- -5.328E-01
- -5.328E-01
- -4.903E-01
- -4.903E-01
- -4.539E-01
- -4.539E-01
- -4.237E-01
- -4.237E-01
- -4.001E-01
- -4.001E-01
- -3.831E-01
- -3.831E-01
- -3.729E-01
- -3.729E-01
- -3.695E-01
- -3.695E-01
- -3.729E-01
- -3.729E-01
- -3.831E-01
- -3.831E-01
- -4.001E-01
- -4.001E-01
- -4.237E-01
- -4.237E-01
- -4.539E-01
- -4.539E-01
- -4.903E-01
- -4.903E-01
- -5.328E-01
- -5.328E-01
- -5.812E-01
- -5.812E-01
- -6.350E-01
- -6.350E-01
- -6.940E-01
- -6.940E-01
- -7.578E-01
- -7.578E-01
- -8.260E-01
- -8.260E-01
- -8.981E-01
- -8.981E-01
- -9.615E-01
- -9.615E-01
- -9.780E-01
- -9.780E-01
- -9.931E-01
- -9.931E-01
- -1.007E+00
- -1.007E+00
- -1.019E+00
- -1.019E+00
- -1.029E+00
- -1.029E+00
- -1.038E+00
- -1.038E+00
- -1.045E+00
- -1.045E+00
- -1.051E+00
- -1.051E+00
- -1.055E+00
- -1.055E+00
- -1.057E+00
- -1.057E+00
- -1.058E+00
- -1.058E+00
- -1.057E+00
- -1.057E+00
- -1.055E+00
- -1.055E+00
- -1.051E+00
- -1.051E+00
- -1.045E+00
- -1.045E+00
- -1.038E+00
- -1.038E+00
- -1.029E+00
- -1.029E+00
- -1.019E+00
- -1.019E+00
- -1.007E+00
- -1.007E+00
- -9.931E-01
- -9.931E-01
- -9.780E-01
- -9.780E-01
- -9.615E-01
- -9.615E-01
- -9.434E-01
- -9.434E-01
- -9.238E-01
- -9.238E-01
- -9.028E-01
- -9.028E-01
- -8.803E-01
- -8.803E-01
- -8.563E-01
- -8.563E-01
- -8.310E-01
- -8.310E-01
- -8.042E-01
- -8.042E-01
- -7.762E-01
- -7.762E-01
- -7.467E-01
- -7.467E-01
- -7.160E-01
- -7.160E-01
- -6.840E-01
- -6.840E-01
- -6.508E-01
- -6.508E-01
- -6.164E-01
- -6.164E-01
- -5.808E-01
- -5.808E-01
- -5.441E-01
- -5.441E-01
- -5.062E-01
- -5.062E-01
- -4.673E-01
- -4.673E-01
- -4.274E-01
- -4.274E-01
- -3.865E-01
- -3.865E-01
- -3.447E-01
- -3.447E-01
- -3.019E-01
- -3.019E-01
- -2.583E-01
- -2.583E-01
- -2.139E-01
- -2.139E-01
- -1.686E-01
- -1.686E-01
- -1.227E-01
- -1.227E-01
- -7.609E-02
- -7.609E-02
- -2.883E-02
- -2.883E-02
- 9.131E-03
- 9.131E-03
- 9.239E-03
- 9.239E-03
- 9.348E-03
- 9.348E-03
- 9.458E-03
- 9.458E-03
- 9.568E-03
- 9.568E-03
- 9.680E-03
- 9.680E-03
- 9.792E-03
- 9.792E-03
- 9.905E-03
- 9.905E-03
- 1.002E-02
- 1.002E-02
- 1.013E-02
- 1.013E-02
- 1.025E-02
- 1.025E-02
- 1.036E-02
- 1.036E-02
-
-# Time step 1
-# Time 5.000E-02
-# Call 2
- -1.067E+00
- -1.067E+00
- -1.066E+00
- -1.066E+00
- -1.062E+00
- -1.062E+00
- -1.058E+00
- -1.058E+00
- -1.051E+00
- -1.051E+00
- -1.043E+00
- -1.043E+00
- -1.033E+00
- -1.033E+00
- -1.022E+00
- -1.022E+00
- -1.009E+00
- -1.009E+00
- -9.948E-01
- -9.948E-01
- -9.790E-01
- -9.790E-01
- -9.616E-01
- -9.616E-01
- -8.981E-01
- -8.981E-01
- -8.260E-01
- -8.260E-01
- -7.578E-01
- -7.578E-01
- -6.940E-01
- -6.940E-01
- -6.350E-01
- -6.350E-01
- -5.812E-01
- -5.812E-01
- -5.328E-01
- -5.328E-01
- -4.903E-01
- -4.903E-01
- -4.539E-01
- -4.539E-01
- -4.237E-01
- -4.237E-01
- -4.001E-01
- -4.001E-01
- -3.831E-01
- -3.831E-01
- -3.729E-01
- -3.729E-01
- -3.695E-01
- -3.695E-01
- -3.729E-01
- -3.729E-01
- -3.831E-01
- -3.831E-01
- -4.001E-01
- -4.001E-01
- -4.237E-01
- -4.237E-01
- -4.539E-01
- -4.539E-01
- -4.903E-01
- -4.903E-01
- -5.328E-01
- -5.328E-01
- -5.812E-01
- -5.812E-01
- -6.350E-01
- -6.350E-01
- -6.940E-01
- -6.940E-01
- -7.578E-01
- -7.578E-01
- -8.260E-01
- -8.260E-01
- -8.981E-01
- -8.981E-01
- -9.615E-01
- -9.615E-01
- -9.780E-01
- -9.780E-01
- -9.931E-01
- -9.931E-01
- -1.007E+00
- -1.007E+00
- -1.019E+00
- -1.019E+00
- -1.029E+00
- -1.029E+00
- -1.038E+00
- -1.038E+00
- -1.045E+00
- -1.045E+00
- -1.051E+00
- -1.051E+00
- -1.055E+00
- -1.055E+00
- -1.057E+00
- -1.057E+00
- -1.058E+00
- -1.058E+00
- -1.057E+00
- -1.057E+00
- -1.055E+00
- -1.055E+00
- -1.051E+00
- -1.051E+00
- -1.045E+00
- -1.045E+00
- -1.038E+00
- -1.038E+00
- -1.029E+00
- -1.029E+00
- -1.019E+00
- -1.019E+00
- -1.007E+00
- -1.007E+00
- -9.931E-01
- -9.931E-01
- -9.780E-01
- -9.780E-01
- -9.615E-01
- -9.615E-01
- -9.434E-01
- -9.434E-01
- -9.238E-01
- -9.238E-01
- -9.028E-01
- -9.028E-01
- -8.803E-01
- -8.803E-01
- -8.563E-01
- -8.563E-01
- -8.310E-01
- -8.310E-01
- -8.042E-01
- -8.042E-01
- -7.762E-01
- -7.762E-01
- -7.467E-01
- -7.467E-01
- -7.160E-01
- -7.160E-01
- -6.840E-01
- -6.840E-01
- -6.508E-01
- -6.508E-01
- -6.164E-01
- -6.164E-01
- -5.808E-01
- -5.808E-01
- -5.441E-01
- -5.441E-01
- -5.062E-01
- -5.062E-01
- -4.673E-01
- -4.673E-01
- -4.274E-01
- -4.274E-01
- -3.865E-01
- -3.865E-01
- -3.447E-01
- -3.447E-01
- -3.019E-01
- -3.019E-01
- -2.583E-01
- -2.583E-01
- -2.139E-01
- -2.139E-01
- -1.686E-01
- -1.686E-01
- -1.227E-01
- -1.227E-01
- -7.609E-02
- -7.609E-02
- -2.883E-02
- -2.883E-02
- 9.131E-03
- 9.131E-03
- 9.239E-03
- 9.239E-03
- 9.348E-03
- 9.348E-03
- 9.458E-03
- 9.458E-03
- 9.568E-03
- 9.568E-03
- 9.680E-03
- 9.680E-03
- 9.792E-03
- 9.792E-03
- 9.905E-03
- 9.905E-03
- 1.002E-02
- 1.002E-02
- 1.013E-02
- 1.013E-02
- 1.025E-02
- 1.025E-02
- 1.036E-02
- 1.036E-02
diff --git a/test/test_cartoon_1/ahf_area.tl b/test/test_cartoon_1/ahf_area.tl
deleted file mode 100644
index 0473ccb..0000000
--- a/test/test_cartoon_1/ahf_area.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-" Horizon area
- 0.000000E+00 9.171656E+02
- 5.000000E-02 9.171656E+02
diff --git a/test/test_cartoon_1/ahf_asymx.tl b/test/test_cartoon_1/ahf_asymx.tl
deleted file mode 100644
index 7299cb9..0000000
--- a/test/test_cartoon_1/ahf_asymx.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-" Asymmetries on x reflection
- 0.000000E+00 0.000000E+00
- 5.000000E-02 0.000000E+00
diff --git a/test/test_cartoon_1/ahf_asymy.tl b/test/test_cartoon_1/ahf_asymy.tl
deleted file mode 100644
index 1ed4588..0000000
--- a/test/test_cartoon_1/ahf_asymy.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-" Asymmetries on y reflection
- 0.000000E+00 0.000000E+00
- 5.000000E-02 0.000000E+00
diff --git a/test/test_cartoon_1/ahf_asymz.tl b/test/test_cartoon_1/ahf_asymz.tl
deleted file mode 100644
index a88d7f8..0000000
--- a/test/test_cartoon_1/ahf_asymz.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-" Asymmetries on z reflection
- 0.000000E+00 0.000000E+00
- 5.000000E-02 0.000000E+00
diff --git a/test/test_cartoon_1/ahf_circ_eq.tl b/test/test_cartoon_1/ahf_circ_eq.tl
deleted file mode 100644
index 846eef3..0000000
--- a/test/test_cartoon_1/ahf_circ_eq.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-" Equatorial circumference
- 0.000000E+00 0.000000E+00
- 5.000000E-02 0.000000E+00
diff --git a/test/test_cartoon_1/ahf_coeff.alm b/test/test_cartoon_1/ahf_coeff.alm
deleted file mode 100644
index a01bfe3..0000000
--- a/test/test_cartoon_1/ahf_coeff.alm
+++ /dev/null
@@ -1,21 +0,0 @@
-# Radial coefficients
-#
-# centered on: 0.000000E+00 0.000000E+00 0.000000E+00
-# Time step 0
-# Time 0.000000E+00
-# Call 1
-# Surface found: Outer horizon?
-#
-# a_lm l m
-#
- 2.900000E-01 0 0
-
-# centered on: 0.000000E+00 0.000000E+00 0.000000E+00
-# Time step 1
-# Time 5.000000E-02
-# Call 2
-# Surface found: Outer horizon?
-#
-# a_lm l m
-#
- 2.900000E-01 0 0
diff --git a/test/test_cartoon_1/ahf_mass.tl b/test/test_cartoon_1/ahf_mass.tl
deleted file mode 100644
index 2f83913..0000000
--- a/test/test_cartoon_1/ahf_mass.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-" Horizon mass
- 0.000000E+00 4.271584E+00
- 5.000000E-02 4.271584E+00
diff --git a/test/test_cartoon_1/ahf_meri_p1.tl b/test/test_cartoon_1/ahf_meri_p1.tl
deleted file mode 100644
index a1e6ca7..0000000
--- a/test/test_cartoon_1/ahf_meri_p1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-" Length of meridian, phi=0
- 0.000000E+00 5.247233E+01
- 5.000000E-02 5.247233E+01
diff --git a/test/test_cartoon_1/ahf_meri_p2.tl b/test/test_cartoon_1/ahf_meri_p2.tl
deleted file mode 100644
index c9ea10c..0000000
--- a/test/test_cartoon_1/ahf_meri_p2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-" Length of meridian, phi=pi/2
- 0.000000E+00 5.247233E+01
- 5.000000E-02 5.247233E+01
diff --git a/test/test_cartoon_1/ahf_rad.tl b/test/test_cartoon_1/ahf_rad.tl
deleted file mode 100644
index a6ea8d9..0000000
--- a/test/test_cartoon_1/ahf_rad.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-" Horizon radius
- 0.000000E+00 2.900000E-01
- 5.000000E-02 2.900000E-01
diff --git a/test/test_cartoon_1/alp.dl b/test/test_cartoon_1/alp.dl
deleted file mode 100644
index 8b39e40..0000000
--- a/test/test_cartoon_1/alp.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 1.0000000000000
--0.1732050807569 1.0000000000000
-0.1732050807569 1.0000000000000
-0.5196152422707 1.0000000000000
-0.8660254037844 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 1.0000000000000
--0.1732050807569 1.0000000000000
-0.1732050807569 1.0000000000000
-0.5196152422707 1.0000000000000
-0.8660254037844 1.0000000000000
diff --git a/test/test_cartoon_1/alp.xl b/test/test_cartoon_1/alp.xl
deleted file mode 100644
index 294e3bc..0000000
--- a/test/test_cartoon_1/alp.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/alp.yl b/test/test_cartoon_1/alp.yl
deleted file mode 100644
index c45c84a..0000000
--- a/test/test_cartoon_1/alp.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 1.0000000000000
--0.2000000000000 1.0000000000000
-0.0000000000000 1.0000000000000
-0.2000000000000 1.0000000000000
-0.4000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 1.0000000000000
--0.2000000000000 1.0000000000000
-0.0000000000000 1.0000000000000
-0.2000000000000 1.0000000000000
-0.4000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/alp.zl b/test/test_cartoon_1/alp.zl
deleted file mode 100644
index 294e3bc..0000000
--- a/test/test_cartoon_1/alp.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/alp_max.tl b/test/test_cartoon_1/alp_max.tl
deleted file mode 100644
index b02ef45..0000000
--- a/test/test_cartoon_1/alp_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"alp v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/alp_min.tl b/test/test_cartoon_1/alp_min.tl
deleted file mode 100644
index b02ef45..0000000
--- a/test/test_cartoon_1/alp_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"alp v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/alp_nm1.tl b/test/test_cartoon_1/alp_nm1.tl
deleted file mode 100644
index b02ef45..0000000
--- a/test/test_cartoon_1/alp_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"alp v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/alp_nm2.tl b/test/test_cartoon_1/alp_nm2.tl
deleted file mode 100644
index b02ef45..0000000
--- a/test/test_cartoon_1/alp_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"alp v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/grr.dl b/test/test_cartoon_1/grr.dl
deleted file mode 100644
index 8b39e40..0000000
--- a/test/test_cartoon_1/grr.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 1.0000000000000
--0.1732050807569 1.0000000000000
-0.1732050807569 1.0000000000000
-0.5196152422707 1.0000000000000
-0.8660254037844 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 1.0000000000000
--0.1732050807569 1.0000000000000
-0.1732050807569 1.0000000000000
-0.5196152422707 1.0000000000000
-0.8660254037844 1.0000000000000
diff --git a/test/test_cartoon_1/grr.xl b/test/test_cartoon_1/grr.xl
deleted file mode 100644
index 294e3bc..0000000
--- a/test/test_cartoon_1/grr.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/grr.yl b/test/test_cartoon_1/grr.yl
deleted file mode 100644
index c45c84a..0000000
--- a/test/test_cartoon_1/grr.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 1.0000000000000
--0.2000000000000 1.0000000000000
-0.0000000000000 1.0000000000000
-0.2000000000000 1.0000000000000
-0.4000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 1.0000000000000
--0.2000000000000 1.0000000000000
-0.0000000000000 1.0000000000000
-0.2000000000000 1.0000000000000
-0.4000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/grr.zl b/test/test_cartoon_1/grr.zl
deleted file mode 100644
index 294e3bc..0000000
--- a/test/test_cartoon_1/grr.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/grr_max.tl b/test/test_cartoon_1/grr_max.tl
deleted file mode 100644
index a4c9e19..0000000
--- a/test/test_cartoon_1/grr_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"grr v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/grr_min.tl b/test/test_cartoon_1/grr_min.tl
deleted file mode 100644
index a4c9e19..0000000
--- a/test/test_cartoon_1/grr_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"grr v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/grr_nm1.tl b/test/test_cartoon_1/grr_nm1.tl
deleted file mode 100644
index a4c9e19..0000000
--- a/test/test_cartoon_1/grr_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"grr v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/grr_nm2.tl b/test/test_cartoon_1/grr_nm2.tl
deleted file mode 100644
index a4c9e19..0000000
--- a/test/test_cartoon_1/grr_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"grr v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gxx.dl b/test/test_cartoon_1/gxx.dl
deleted file mode 100644
index 8b39e40..0000000
--- a/test/test_cartoon_1/gxx.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 1.0000000000000
--0.1732050807569 1.0000000000000
-0.1732050807569 1.0000000000000
-0.5196152422707 1.0000000000000
-0.8660254037844 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 1.0000000000000
--0.1732050807569 1.0000000000000
-0.1732050807569 1.0000000000000
-0.5196152422707 1.0000000000000
-0.8660254037844 1.0000000000000
diff --git a/test/test_cartoon_1/gxx.xl b/test/test_cartoon_1/gxx.xl
deleted file mode 100644
index 294e3bc..0000000
--- a/test/test_cartoon_1/gxx.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gxx.yl b/test/test_cartoon_1/gxx.yl
deleted file mode 100644
index c45c84a..0000000
--- a/test/test_cartoon_1/gxx.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 1.0000000000000
--0.2000000000000 1.0000000000000
-0.0000000000000 1.0000000000000
-0.2000000000000 1.0000000000000
-0.4000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 1.0000000000000
--0.2000000000000 1.0000000000000
-0.0000000000000 1.0000000000000
-0.2000000000000 1.0000000000000
-0.4000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gxx.zl b/test/test_cartoon_1/gxx.zl
deleted file mode 100644
index 294e3bc..0000000
--- a/test/test_cartoon_1/gxx.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gxx_max.tl b/test/test_cartoon_1/gxx_max.tl
deleted file mode 100644
index 101ffa1..0000000
--- a/test/test_cartoon_1/gxx_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gxx v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gxx_min.tl b/test/test_cartoon_1/gxx_min.tl
deleted file mode 100644
index 101ffa1..0000000
--- a/test/test_cartoon_1/gxx_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gxx v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gxx_nm1.tl b/test/test_cartoon_1/gxx_nm1.tl
deleted file mode 100644
index 101ffa1..0000000
--- a/test/test_cartoon_1/gxx_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gxx v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gxx_nm2.tl b/test/test_cartoon_1/gxx_nm2.tl
deleted file mode 100644
index 101ffa1..0000000
--- a/test/test_cartoon_1/gxx_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gxx v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gxy.dl b/test/test_cartoon_1/gxy.dl
deleted file mode 100644
index 93a128e..0000000
--- a/test/test_cartoon_1/gxy.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
diff --git a/test/test_cartoon_1/gxy.xl b/test/test_cartoon_1/gxy.xl
deleted file mode 100644
index 50b6eed..0000000
--- a/test/test_cartoon_1/gxy.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gxy.yl b/test/test_cartoon_1/gxy.yl
deleted file mode 100644
index 75d0c5c..0000000
--- a/test/test_cartoon_1/gxy.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gxy.zl b/test/test_cartoon_1/gxy.zl
deleted file mode 100644
index 50b6eed..0000000
--- a/test/test_cartoon_1/gxy.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gxy_max.tl b/test/test_cartoon_1/gxy_max.tl
deleted file mode 100644
index db1b46f..0000000
--- a/test/test_cartoon_1/gxy_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gxy v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gxy_min.tl b/test/test_cartoon_1/gxy_min.tl
deleted file mode 100644
index db1b46f..0000000
--- a/test/test_cartoon_1/gxy_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gxy v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gxy_nm1.tl b/test/test_cartoon_1/gxy_nm1.tl
deleted file mode 100644
index db1b46f..0000000
--- a/test/test_cartoon_1/gxy_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gxy v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gxy_nm2.tl b/test/test_cartoon_1/gxy_nm2.tl
deleted file mode 100644
index db1b46f..0000000
--- a/test/test_cartoon_1/gxy_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gxy v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gxz.dl b/test/test_cartoon_1/gxz.dl
deleted file mode 100644
index 93a128e..0000000
--- a/test/test_cartoon_1/gxz.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
diff --git a/test/test_cartoon_1/gxz.xl b/test/test_cartoon_1/gxz.xl
deleted file mode 100644
index 50b6eed..0000000
--- a/test/test_cartoon_1/gxz.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gxz.yl b/test/test_cartoon_1/gxz.yl
deleted file mode 100644
index 75d0c5c..0000000
--- a/test/test_cartoon_1/gxz.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gxz.zl b/test/test_cartoon_1/gxz.zl
deleted file mode 100644
index bc3cd4e..0000000
--- a/test/test_cartoon_1/gxz.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 -0.0000000000000
--0.1000000000000 -0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gxz_max.tl b/test/test_cartoon_1/gxz_max.tl
deleted file mode 100644
index 7917a26..0000000
--- a/test/test_cartoon_1/gxz_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gxz v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gxz_min.tl b/test/test_cartoon_1/gxz_min.tl
deleted file mode 100644
index 7917a26..0000000
--- a/test/test_cartoon_1/gxz_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gxz v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gxz_nm1.tl b/test/test_cartoon_1/gxz_nm1.tl
deleted file mode 100644
index 7917a26..0000000
--- a/test/test_cartoon_1/gxz_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gxz v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gxz_nm2.tl b/test/test_cartoon_1/gxz_nm2.tl
deleted file mode 100644
index 7917a26..0000000
--- a/test/test_cartoon_1/gxz_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gxz v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gyy.dl b/test/test_cartoon_1/gyy.dl
deleted file mode 100644
index 8b39e40..0000000
--- a/test/test_cartoon_1/gyy.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 1.0000000000000
--0.1732050807569 1.0000000000000
-0.1732050807569 1.0000000000000
-0.5196152422707 1.0000000000000
-0.8660254037844 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 1.0000000000000
--0.1732050807569 1.0000000000000
-0.1732050807569 1.0000000000000
-0.5196152422707 1.0000000000000
-0.8660254037844 1.0000000000000
diff --git a/test/test_cartoon_1/gyy.xl b/test/test_cartoon_1/gyy.xl
deleted file mode 100644
index 294e3bc..0000000
--- a/test/test_cartoon_1/gyy.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gyy.yl b/test/test_cartoon_1/gyy.yl
deleted file mode 100644
index c45c84a..0000000
--- a/test/test_cartoon_1/gyy.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 1.0000000000000
--0.2000000000000 1.0000000000000
-0.0000000000000 1.0000000000000
-0.2000000000000 1.0000000000000
-0.4000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 1.0000000000000
--0.2000000000000 1.0000000000000
-0.0000000000000 1.0000000000000
-0.2000000000000 1.0000000000000
-0.4000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gyy.zl b/test/test_cartoon_1/gyy.zl
deleted file mode 100644
index 294e3bc..0000000
--- a/test/test_cartoon_1/gyy.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gyy_max.tl b/test/test_cartoon_1/gyy_max.tl
deleted file mode 100644
index f0333a5..0000000
--- a/test/test_cartoon_1/gyy_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gyy v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gyy_min.tl b/test/test_cartoon_1/gyy_min.tl
deleted file mode 100644
index f0333a5..0000000
--- a/test/test_cartoon_1/gyy_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gyy v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gyy_nm1.tl b/test/test_cartoon_1/gyy_nm1.tl
deleted file mode 100644
index f0333a5..0000000
--- a/test/test_cartoon_1/gyy_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gyy v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gyy_nm2.tl b/test/test_cartoon_1/gyy_nm2.tl
deleted file mode 100644
index f0333a5..0000000
--- a/test/test_cartoon_1/gyy_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gyy v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gyz.dl b/test/test_cartoon_1/gyz.dl
deleted file mode 100644
index 2b3ba0b..0000000
--- a/test/test_cartoon_1/gyz.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 -0.0000000000000
--0.1732050807569 -0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
diff --git a/test/test_cartoon_1/gyz.xl b/test/test_cartoon_1/gyz.xl
deleted file mode 100644
index bc3cd4e..0000000
--- a/test/test_cartoon_1/gyz.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 -0.0000000000000
--0.1000000000000 -0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gyz.yl b/test/test_cartoon_1/gyz.yl
deleted file mode 100644
index 75d0c5c..0000000
--- a/test/test_cartoon_1/gyz.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gyz.zl b/test/test_cartoon_1/gyz.zl
deleted file mode 100644
index bc3cd4e..0000000
--- a/test/test_cartoon_1/gyz.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 -0.0000000000000
--0.1000000000000 -0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gyz_max.tl b/test/test_cartoon_1/gyz_max.tl
deleted file mode 100644
index 13a466c..0000000
--- a/test/test_cartoon_1/gyz_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gyz v time
-0.0000000000000 0.0000000000000
-0.0500000000000 -0.0000000000000
diff --git a/test/test_cartoon_1/gyz_min.tl b/test/test_cartoon_1/gyz_min.tl
deleted file mode 100644
index 13a466c..0000000
--- a/test/test_cartoon_1/gyz_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gyz v time
-0.0000000000000 0.0000000000000
-0.0500000000000 -0.0000000000000
diff --git a/test/test_cartoon_1/gyz_nm1.tl b/test/test_cartoon_1/gyz_nm1.tl
deleted file mode 100644
index 08c2ff2..0000000
--- a/test/test_cartoon_1/gyz_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gyz v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gyz_nm2.tl b/test/test_cartoon_1/gyz_nm2.tl
deleted file mode 100644
index 08c2ff2..0000000
--- a/test/test_cartoon_1/gyz_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gyz v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/gzz.dl b/test/test_cartoon_1/gzz.dl
deleted file mode 100644
index 8b39e40..0000000
--- a/test/test_cartoon_1/gzz.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 1.0000000000000
--0.1732050807569 1.0000000000000
-0.1732050807569 1.0000000000000
-0.5196152422707 1.0000000000000
-0.8660254037844 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 1.0000000000000
--0.1732050807569 1.0000000000000
-0.1732050807569 1.0000000000000
-0.5196152422707 1.0000000000000
-0.8660254037844 1.0000000000000
diff --git a/test/test_cartoon_1/gzz.xl b/test/test_cartoon_1/gzz.xl
deleted file mode 100644
index 294e3bc..0000000
--- a/test/test_cartoon_1/gzz.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gzz.yl b/test/test_cartoon_1/gzz.yl
deleted file mode 100644
index c45c84a..0000000
--- a/test/test_cartoon_1/gzz.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 1.0000000000000
--0.2000000000000 1.0000000000000
-0.0000000000000 1.0000000000000
-0.2000000000000 1.0000000000000
-0.4000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 1.0000000000000
--0.2000000000000 1.0000000000000
-0.0000000000000 1.0000000000000
-0.2000000000000 1.0000000000000
-0.4000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gzz.zl b/test/test_cartoon_1/gzz.zl
deleted file mode 100644
index 294e3bc..0000000
--- a/test/test_cartoon_1/gzz.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 1.0000000000000
--0.1000000000000 1.0000000000000
-0.1000000000000 1.0000000000000
-0.3000000000000 1.0000000000000
-0.5000000000000 1.0000000000000
-0.7000000000000 1.0000000000000
-0.9000000000000 1.0000000000000
-1.1000000000000 1.0000000000000
-1.3000000000000 1.0000000000000
-1.5000000000000 1.0000000000000
-1.7000000000000 1.0000000000000
-1.9000000000000 1.0000000000000
-2.1000000000000 1.0000000000000
-2.3000000000000 1.0000000000000
-2.5000000000000 1.0000000000000
-2.7000000000000 1.0000000000000
-2.9000000000000 1.0000000000000
-3.1000000000000 1.0000000000000
-3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gzz_max.tl b/test/test_cartoon_1/gzz_max.tl
deleted file mode 100644
index ec282d1..0000000
--- a/test/test_cartoon_1/gzz_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gzz v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gzz_min.tl b/test/test_cartoon_1/gzz_min.tl
deleted file mode 100644
index ec282d1..0000000
--- a/test/test_cartoon_1/gzz_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gzz v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gzz_nm1.tl b/test/test_cartoon_1/gzz_nm1.tl
deleted file mode 100644
index ec282d1..0000000
--- a/test/test_cartoon_1/gzz_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gzz v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/gzz_nm2.tl b/test/test_cartoon_1/gzz_nm2.tl
deleted file mode 100644
index ec282d1..0000000
--- a/test/test_cartoon_1/gzz_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"gzz v time
-0.0000000000000 1.0000000000000
-0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_1/ham.dl b/test/test_cartoon_1/ham.dl
deleted file mode 100644
index 0469027..0000000
--- a/test/test_cartoon_1/ham.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 -0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 -0.0000000000000
-0.5196152422707 -0.0000000000000
-0.8660254037844 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 -0.0000095170785
--0.1732050807569 -0.0000010917998
-0.1732050807569 -0.0000000981498
-0.5196152422707 -0.0000063233389
-0.8660254037844 -0.0000137102988
diff --git a/test/test_cartoon_1/ham.xl b/test/test_cartoon_1/ham.xl
deleted file mode 100644
index a8e0270..0000000
--- a/test/test_cartoon_1/ham.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 -0.0000000000000
-0.1000000000000 -0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 -0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 -0.0000000000000
-1.3000000000000 -0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 -0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 -0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 -0.0000000000000
-2.9000000000000 -0.0000000000000
-3.1000000000000 -0.0000000000000
-3.3000000000000 -0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 -0.0000022191092
--0.1000000000000 -0.0000000981498
-0.1000000000000 -0.0000000981498
-0.3000000000000 -0.0000022191092
-0.5000000000000 -0.0000075108024
-0.7000000000000 -0.0000122442733
-0.9000000000000 -0.0000144337785
-1.1000000000000 -0.0000144329192
-1.3000000000000 -0.0000131846667
-1.5000000000000 -0.0000114356294
-1.7000000000000 -0.0000096230116
-1.9000000000000 -0.0000079575109
-2.1000000000000 -0.0000065174667
-2.3000000000000 -0.0000053135060
-2.5000000000000 -0.0000043259524
-2.7000000000000 -0.0000035244578
-2.9000000000000 -0.0000028774613
-3.1000000000000 -0.0000023562477
-3.3000000000000 -0.0000023562477
diff --git a/test/test_cartoon_1/ham.yl b/test/test_cartoon_1/ham.yl
deleted file mode 100644
index f6fdfde..0000000
--- a/test/test_cartoon_1/ham.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 -0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 -0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 -0.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 -0.0000050392857
--0.2000000000000 -0.0000010917998
-0.0000000000000 -0.0000000981498
-0.2000000000000 -0.0000010917998
-0.4000000000000 -0.0000050392857
diff --git a/test/test_cartoon_1/ham.zl b/test/test_cartoon_1/ham.zl
deleted file mode 100644
index a1e6ead..0000000
--- a/test/test_cartoon_1/ham.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 -0.0000000000000
--0.1000000000000 -0.0000000000000
-0.1000000000000 -0.0000000000000
-0.3000000000000 -0.0000000000000
-0.5000000000000 -0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 -0.0000000000000
-1.3000000000000 -0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 -0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 -0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 -0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 -0.0000000000000
-3.3000000000000 -0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 -0.0000022191092
--0.1000000000000 -0.0000000981498
-0.1000000000000 -0.0000000981498
-0.3000000000000 -0.0000022191092
-0.5000000000000 -0.0000075108024
-0.7000000000000 -0.0000122442733
-0.9000000000000 -0.0000144337785
-1.1000000000000 -0.0000144329192
-1.3000000000000 -0.0000131846667
-1.5000000000000 -0.0000114356294
-1.7000000000000 -0.0000096230116
-1.9000000000000 -0.0000079575109
-2.1000000000000 -0.0000065174667
-2.3000000000000 -0.0000053135060
-2.5000000000000 -0.0000043259524
-2.7000000000000 -0.0000035244578
-2.9000000000000 -0.0000028774613
-3.1000000000000 -0.0000023562477
-3.3000000000000 -0.0000023562477
diff --git a/test/test_cartoon_1/ham_max.tl b/test/test_cartoon_1/ham_max.tl
deleted file mode 100644
index bc2ce18..0000000
--- a/test/test_cartoon_1/ham_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ham v time
-0.0000000000000 0.0000000000000
-0.0500000000000 -0.0000000981498
diff --git a/test/test_cartoon_1/ham_min.tl b/test/test_cartoon_1/ham_min.tl
deleted file mode 100644
index 30d8360..0000000
--- a/test/test_cartoon_1/ham_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ham v time
-0.0000000000000 -0.0000000000000
-0.0500000000000 -0.0000146462668
diff --git a/test/test_cartoon_1/ham_nm1.tl b/test/test_cartoon_1/ham_nm1.tl
deleted file mode 100644
index 627206c..0000000
--- a/test/test_cartoon_1/ham_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ham v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000055526347
diff --git a/test/test_cartoon_1/ham_nm2.tl b/test/test_cartoon_1/ham_nm2.tl
deleted file mode 100644
index 951d93a..0000000
--- a/test/test_cartoon_1/ham_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"ham v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000069769749
diff --git a/test/test_cartoon_1/momx.dl b/test/test_cartoon_1/momx.dl
deleted file mode 100644
index f61b824..0000000
--- a/test/test_cartoon_1/momx.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 0.0007214632962
--0.1732050807569 -0.0003911858394
-0.1732050807569 0.0013270209586
-0.5196152422707 -0.0016195920698
-0.8660254037844 -0.0005928380907
diff --git a/test/test_cartoon_1/momx.xl b/test/test_cartoon_1/momx.xl
deleted file mode 100644
index 23a8d07..0000000
--- a/test/test_cartoon_1/momx.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 -0.0006381220077
--0.1000000000000 -0.0013270209586
-0.1000000000000 0.0013270209586
-0.3000000000000 0.0006381220077
-0.5000000000000 0.0032213290112
-0.7000000000000 0.0021643638274
-0.9000000000000 0.0013179943500
-1.1000000000000 0.0008061837743
-1.3000000000000 0.0005051259292
-1.5000000000000 0.0003253261402
-1.7000000000000 0.0002151948633
-1.9000000000000 0.0001459008068
-2.1000000000000 0.0001011621417
-2.3000000000000 0.0000715777492
-2.5000000000000 0.0000515810271
-2.7000000000000 0.0000377920931
-2.9000000000000 0.0000284533569
-3.1000000000000 -0.0002545101503
-3.3000000000000 -0.0002545101503
diff --git a/test/test_cartoon_1/momx.yl b/test/test_cartoon_1/momx.yl
deleted file mode 100644
index 34711f0..0000000
--- a/test/test_cartoon_1/momx.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 0.0005163204945
--0.2000000000000 0.0003911858394
-0.0000000000000 0.0013270209586
-0.2000000000000 0.0003911858394
-0.4000000000000 0.0005163204945
diff --git a/test/test_cartoon_1/momx.zl b/test/test_cartoon_1/momx.zl
deleted file mode 100644
index 9858c0d..0000000
--- a/test/test_cartoon_1/momx.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0056501295872
--0.1000000000000 0.0013270209586
-0.1000000000000 0.0013270209586
-0.3000000000000 0.0056501295872
-0.5000000000000 0.0028029260511
-0.7000000000000 0.0011454100155
-0.9000000000000 0.0005109104913
-1.1000000000000 0.0002475564871
-1.3000000000000 0.0001285349599
-1.5000000000000 0.0000706810652
-1.7000000000000 0.0000407856832
-1.9000000000000 0.0000245181273
-2.1000000000000 0.0000152667665
-2.3000000000000 0.0000098012849
-2.5000000000000 0.0000064634297
-2.7000000000000 0.0000043645627
-2.9000000000000 0.0000030101802
-3.1000000000000 -0.0000190504026
-3.3000000000000 -0.0000190504026
diff --git a/test/test_cartoon_1/momx_max.tl b/test/test_cartoon_1/momx_max.tl
deleted file mode 100644
index 8718acd..0000000
--- a/test/test_cartoon_1/momx_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"momx v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0056501295872
diff --git a/test/test_cartoon_1/momx_min.tl b/test/test_cartoon_1/momx_min.tl
deleted file mode 100644
index 17dd107..0000000
--- a/test/test_cartoon_1/momx_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"momx v time
-0.0000000000000 0.0000000000000
-0.0500000000000 -0.0056501295872
diff --git a/test/test_cartoon_1/momx_nm1.tl b/test/test_cartoon_1/momx_nm1.tl
deleted file mode 100644
index 458c069..0000000
--- a/test/test_cartoon_1/momx_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"momx v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0001821156590
diff --git a/test/test_cartoon_1/momx_nm2.tl b/test/test_cartoon_1/momx_nm2.tl
deleted file mode 100644
index 548dde7..0000000
--- a/test/test_cartoon_1/momx_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"momx v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0004832726419
diff --git a/test/test_cartoon_1/momy.dl b/test/test_cartoon_1/momy.dl
deleted file mode 100644
index 7ccfa03..0000000
--- a/test/test_cartoon_1/momy.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 -0.0000000000000
--0.1732050807569 -0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 0.0009619510616
--0.1732050807569 -0.0007823716788
-0.1732050807569 0.0000000000000
-0.5196152422707 -0.0010797280465
-0.8660254037844 -0.0004742704726
diff --git a/test/test_cartoon_1/momy.xl b/test/test_cartoon_1/momy.xl
deleted file mode 100644
index 2d1cdd1..0000000
--- a/test/test_cartoon_1/momy.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 -0.0000000000000
--0.1000000000000 -0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 -0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 -0.0000000000000
-0.7000000000000 -0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 -0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 -0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/momy.yl b/test/test_cartoon_1/momy.yl
deleted file mode 100644
index ee3cdb1..0000000
--- a/test/test_cartoon_1/momy.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 -0.0020652819779
--0.2000000000000 -0.0007823716788
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0007823716788
-0.4000000000000 0.0020652819779
diff --git a/test/test_cartoon_1/momy.zl b/test/test_cartoon_1/momy.zl
deleted file mode 100644
index 50b6eed..0000000
--- a/test/test_cartoon_1/momy.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/momy_max.tl b/test/test_cartoon_1/momy_max.tl
deleted file mode 100644
index 6a33eaa..0000000
--- a/test/test_cartoon_1/momy_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"momy v time
-0.0000000000000 -0.0000000000000
-0.0500000000000 0.0025770632090
diff --git a/test/test_cartoon_1/momy_min.tl b/test/test_cartoon_1/momy_min.tl
deleted file mode 100644
index dd9b4d2..0000000
--- a/test/test_cartoon_1/momy_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"momy v time
-0.0000000000000 -0.0000000000000
-0.0500000000000 -0.0025770632090
diff --git a/test/test_cartoon_1/momy_nm1.tl b/test/test_cartoon_1/momy_nm1.tl
deleted file mode 100644
index d6d6f05..0000000
--- a/test/test_cartoon_1/momy_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"momy v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0001042813745
diff --git a/test/test_cartoon_1/momy_nm2.tl b/test/test_cartoon_1/momy_nm2.tl
deleted file mode 100644
index 3dd4275..0000000
--- a/test/test_cartoon_1/momy_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"momy v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0003522966591
diff --git a/test/test_cartoon_1/momz.dl b/test/test_cartoon_1/momz.dl
deleted file mode 100644
index 56eba52..0000000
--- a/test/test_cartoon_1/momz.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 -0.0013862835639
--0.1732050807569 -0.0042318503501
-0.1732050807569 0.0013397004576
-0.5196152422707 -0.0008557479651
-0.8660254037844 0.0000126962676
diff --git a/test/test_cartoon_1/momz.xl b/test/test_cartoon_1/momz.xl
deleted file mode 100644
index d643f26..0000000
--- a/test/test_cartoon_1/momz.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0056606931753
--0.1000000000000 0.0013397004576
-0.1000000000000 0.0013397004576
-0.3000000000000 0.0056606931753
-0.5000000000000 0.0028097156966
-0.7000000000000 0.0011521291867
-0.9000000000000 0.0005158267714
-1.1000000000000 0.0002507586710
-1.3000000000000 0.0001305497596
-1.5000000000000 0.0000719461769
-1.7000000000000 0.0000415891757
-1.9000000000000 0.0000250371100
-2.1000000000000 0.0000156083068
-2.3000000000000 0.0000100303306
-2.5000000000000 0.0000066198624
-2.7000000000000 0.0000044732662
-2.9000000000000 0.0000031046314
-3.1000000000000 -0.0000190280872
-3.3000000000000 -0.0000190280872
diff --git a/test/test_cartoon_1/momz.yl b/test/test_cartoon_1/momz.yl
deleted file mode 100644
index aaf22bd..0000000
--- a/test/test_cartoon_1/momz.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 0.0044065876197
--0.2000000000000 0.0042318503501
-0.0000000000000 0.0013397004576
-0.2000000000000 0.0042318503501
-0.4000000000000 0.0044065876197
diff --git a/test/test_cartoon_1/momz.zl b/test/test_cartoon_1/momz.zl
deleted file mode 100644
index ed7c339..0000000
--- a/test/test_cartoon_1/momz.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 -0.0000000000000
--0.1000000000000 -0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 -0.0006372990918
--0.1000000000000 -0.0013397004576
-0.1000000000000 0.0013397004576
-0.3000000000000 0.0006372990918
-0.5000000000000 0.0032519618152
-0.7000000000000 0.0021893568807
-0.9000000000000 0.0013325723946
-1.1000000000000 0.0008141016155
-1.3000000000000 0.0005094204746
-1.5000000000000 0.0003277052956
-1.7000000000000 0.0002165506756
-1.9000000000000 0.0001466966341
-2.1000000000000 0.0001016428390
-2.3000000000000 0.0000718759995
-2.5000000000000 0.0000517707243
-2.7000000000000 0.0000379155263
-2.9000000000000 0.0000281911554
-3.1000000000000 -0.0002538212469
-3.3000000000000 -0.0002538212469
diff --git a/test/test_cartoon_1/momz_max.tl b/test/test_cartoon_1/momz_max.tl
deleted file mode 100644
index 00bc287..0000000
--- a/test/test_cartoon_1/momz_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"momz v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0056606931753
diff --git a/test/test_cartoon_1/momz_min.tl b/test/test_cartoon_1/momz_min.tl
deleted file mode 100644
index b710103..0000000
--- a/test/test_cartoon_1/momz_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"momz v time
-0.0000000000000 0.0000000000000
-0.0500000000000 -0.0056606931753
diff --git a/test/test_cartoon_1/momz_nm1.tl b/test/test_cartoon_1/momz_nm1.tl
deleted file mode 100644
index d8c4326..0000000
--- a/test/test_cartoon_1/momz_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"momz v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0002394810820
diff --git a/test/test_cartoon_1/momz_nm2.tl b/test/test_cartoon_1/momz_nm2.tl
deleted file mode 100644
index 0ad3916..0000000
--- a/test/test_cartoon_1/momz_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"momz v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0007235489837
diff --git a/test/test_cartoon_1/test_cartoon_1.par b/test/test_cartoon_1/test_cartoon_1.par
deleted file mode 100644
index c04adac..0000000
--- a/test/test_cartoon_1/test_cartoon_1.par
+++ /dev/null
@@ -1,89 +0,0 @@
-#==============================================================================
-# Cartoon2D, using a bitant grid
-#==============================================================================
-
-ActiveThorns = "cartoon2d idanalyticbh einstein adm_bssn admconstraints ahfinder pughinterp boundary time pugh pughreduce pughslab cartgrid3d ioascii ioutil iobasic"
-
-#------------------------------------------------------------------------------
-# Run parameters for Cartoon
-#------------------------------------------------------------------------------
-
-# Cartoon
-cartoon2d::cartoon_active = "yes"
-cartoon2d::verbose = "yes"
-cartoon2d::stencil = "yes"
-cartoon2d::order = 3
-cartoon2d::allow_grid_resize = "yes"
-
-# This is for 2 processors, you have to
-# change manually by processor numbers.
-
-#driver::processor_topology = "manual"
-#driver::processor_topology_3d_x = 1
-#driver::processor_topology_3d_y = 1
-#driver::processor_topology_3d_z = 2
-
-# Grid
-
-driver::global_nx = 16
-driver::global_ny = 3
-driver::global_nz = 16
-
-driver::ghost_size_x = 2
-driver::ghost_size_y = 2
-driver::ghost_size_z = 2
-
-grid::avoid_originy = "no"
-
-grid::type = "byspacing"
-grid::domain = "bitant"
-grid::bitant_plane = "xy"
-grid::dxyz = 0.2
-
-time::dtfac = 0.25
-
-cactus::cctk_itlast = 1
-
-# MODEL
-
-einstein::initial_data = "schwarzschild"
-
-#--- Evolution
-einstein::evolution_system = "adm_bssn"
-adm_bssn::method = "leapfrog"
-adm_bssn::bound = "flat"
-adm_bssn::cartoon = "yes"
-admconstraints::cartoon = "yes"
-
-#--- gauge
-einstein::slicing = "1+log"
-
-#--- AHFinder.
-
-ahfinder::ahf_active = "yes"
-ahfinder::ahf_cartoon = "yes"
-
-ahfinder::ahf_phi = "no"
-ahfinder::ahf_octant = "high"
-
-ahfinder::ahf_lmax = 0
-
-# Interpolation.
-
-ahfinder::interpolation_order = 1
-
-#------------------------------------------------------------------------------
-# Output
-#------------------------------------------------------------------------------
-IO::outdir = "test_cartoon_1"
-IO::out_fileinfo = "none"
-
-IOBasic::outScalar_every = 1
-IOBasic::outScalar_vars = "admconstraints::hamiltonian admconstraints::momentum einstein::alp einstein::grr einstein::metric einstein::trace_of_K einstein::betax einstein::betay einstein::betaz adm_bssn::adm_bs_gxx adm_bssn::adm_bs_phi adm_bssn::ADM_BSSN_K adm_bssn::ADM_BSSN_gamma"
-
-IOASCII::out1D_every = 1
-IOASCII::out1D_vars = "admconstraints::hamiltonian admconstraints::momentum einstein::alp einstein::grr einstein::metric einstein::trace_of_K einstein::betax einstein::betay einstein::betaz adm_bssn::adm_bs_gxx adm_bssn::adm_bs_phi adm_bssn::ADM_BSSN_K adm_bssn::ADM_BSSN_gamma"
-
-IOBasic::outInfo_every = 1
-IOBasic::outInfo_vars = "einstein::alp adm_bssn::adm_bs_dtalp"
-#==============================================================================
diff --git a/test/test_cartoon_1/trK.dl b/test/test_cartoon_1/trK.dl
deleted file mode 100644
index 4d48799..0000000
--- a/test/test_cartoon_1/trK.dl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.5196152422707 0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.5196152422707 0.0000000000000
--0.1732050807569 0.0000000000000
-0.1732050807569 0.0000000000000
-0.5196152422707 0.0000000000000
-0.8660254037844 -0.0000000000000
diff --git a/test/test_cartoon_1/trK.xl b/test/test_cartoon_1/trK.xl
deleted file mode 100644
index b67e08b..0000000
--- a/test/test_cartoon_1/trK.xl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 -0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/trK.yl b/test/test_cartoon_1/trK.yl
deleted file mode 100644
index 914c040..0000000
--- a/test/test_cartoon_1/trK.yl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.4000000000000 0.0000000000000
--0.2000000000000 0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 0.0000000000000
-0.4000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.4000000000000 -0.0000000000000
--0.2000000000000 -0.0000000000000
-0.0000000000000 0.0000000000000
-0.2000000000000 -0.0000000000000
-0.4000000000000 -0.0000000000000
diff --git a/test/test_cartoon_1/trK.zl b/test/test_cartoon_1/trK.zl
deleted file mode 100644
index 6437f16..0000000
--- a/test/test_cartoon_1/trK.zl
+++ /dev/null
@@ -1,44 +0,0 @@
-
-
-"Time = 0.0000000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
-
-
-"Time = 0.0500000000000
--0.3000000000000 0.0000000000000
--0.1000000000000 0.0000000000000
-0.1000000000000 0.0000000000000
-0.3000000000000 0.0000000000000
-0.5000000000000 0.0000000000000
-0.7000000000000 0.0000000000000
-0.9000000000000 0.0000000000000
-1.1000000000000 0.0000000000000
-1.3000000000000 0.0000000000000
-1.5000000000000 0.0000000000000
-1.7000000000000 0.0000000000000
-1.9000000000000 -0.0000000000000
-2.1000000000000 0.0000000000000
-2.3000000000000 -0.0000000000000
-2.5000000000000 0.0000000000000
-2.7000000000000 -0.0000000000000
-2.9000000000000 0.0000000000000
-3.1000000000000 0.0000000000000
-3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_1/trK_max.tl b/test/test_cartoon_1/trK_max.tl
deleted file mode 100644
index 3e46b0b..0000000
--- a/test/test_cartoon_1/trK_max.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"trK v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/trK_min.tl b/test/test_cartoon_1/trK_min.tl
deleted file mode 100644
index e9f273a..0000000
--- a/test/test_cartoon_1/trK_min.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"trK v time
-0.0000000000000 0.0000000000000
-0.0500000000000 -0.0000000000000
diff --git a/test/test_cartoon_1/trK_nm1.tl b/test/test_cartoon_1/trK_nm1.tl
deleted file mode 100644
index 3e46b0b..0000000
--- a/test/test_cartoon_1/trK_nm1.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"trK v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_1/trK_nm2.tl b/test/test_cartoon_1/trK_nm2.tl
deleted file mode 100644
index 3e46b0b..0000000
--- a/test/test_cartoon_1/trK_nm2.tl
+++ /dev/null
@@ -1,3 +0,0 @@
-"trK v time
-0.0000000000000 0.0000000000000
-0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_2.par b/test/test_cartoon_2.par
index d0828be..21e2043 100644
--- a/test/test_cartoon_2.par
+++ b/test/test_cartoon_2.par
@@ -49,7 +49,7 @@ einstein::initial_data = "schwarzschild"
#--- Evolution
einstein::evolution_system = "adm_bssn"
-adm_bssn::method = "leapfrog"
+adm_bssn::method = "icn"
adm_bssn::bound = "flat"
adm_bssn::cartoon = "yes"
admconstraints::cartoon = "yes"
diff --git a/test/test_cartoon_3.par b/test/test_cartoon_3.par
index 288d281..780f6f4 100644
--- a/test/test_cartoon_3.par
+++ b/test/test_cartoon_3.par
@@ -51,7 +51,7 @@ admbase::initial_data = "schwarzschild"
#--- Evolution
admbase::metric_type = "static conformal"
admbase::evolution_method = "adm_bssn"
-adm_bssn::method = "leapfrog"
+adm_bssn::method = "icn"
adm_bssn::bound = "flat"
adm_bssn::cartoon = "yes"
admconstraints::cartoon = "yes"