aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorallen <allen@eec4d7dc-71c2-46d6-addf-10296150bf52>2002-05-04 11:34:44 +0000
committerallen <allen@eec4d7dc-71c2-46d6-addf-10296150bf52>2002-05-04 11:34:44 +0000
commit76c77724cb9c5c4302de36f9a8333c4998547578 (patch)
tree4b8201c0c128fbf46c491b27784c57c44a03dbf5
parent36ed3bfdf64c621e22df2e74d39bd7bf796e5865 (diff)
Changes so that Cartoon works with both old and new einstein
New testsuite is the same as test_cartoon_1 git-svn-id: http://svn.cactuscode.org/arrangements/CactusNumerical/Cartoon2D/trunk@33 eec4d7dc-71c2-46d6-addf-10296150bf52
-rw-r--r--src/CheckParameters.c26
-rw-r--r--src/SetSym.c163
-rw-r--r--test/test_cartoon_3.par91
-rw-r--r--test/test_cartoon_3/ADM_BS_Gx.dl12
-rw-r--r--test/test_cartoon_3/ADM_BS_Gx.xl42
-rw-r--r--test/test_cartoon_3/ADM_BS_Gx.yl12
-rw-r--r--test/test_cartoon_3/ADM_BS_Gx.zl44
-rw-r--r--test/test_cartoon_3/ADM_BS_Gx_max.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_Gx_min.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_Gx_nm1.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_Gx_nm2.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_Gy.dl12
-rw-r--r--test/test_cartoon_3/ADM_BS_Gy.xl42
-rw-r--r--test/test_cartoon_3/ADM_BS_Gy.yl12
-rw-r--r--test/test_cartoon_3/ADM_BS_Gy.zl44
-rw-r--r--test/test_cartoon_3/ADM_BS_Gy_max.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_Gy_min.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_Gy_nm1.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_Gy_nm2.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_Gz.dl12
-rw-r--r--test/test_cartoon_3/ADM_BS_Gz.xl42
-rw-r--r--test/test_cartoon_3/ADM_BS_Gz.yl12
-rw-r--r--test/test_cartoon_3/ADM_BS_Gz.zl44
-rw-r--r--test/test_cartoon_3/ADM_BS_Gz_max.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_Gz_min.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_Gz_nm1.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_Gz_nm2.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_K.dl12
-rw-r--r--test/test_cartoon_3/ADM_BS_K.xl42
-rw-r--r--test/test_cartoon_3/ADM_BS_K.yl12
-rw-r--r--test/test_cartoon_3/ADM_BS_K.zl44
-rw-r--r--test/test_cartoon_3/ADM_BS_K_max.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_K_min.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_K_nm1.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_K_nm2.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_gxx.dl12
-rw-r--r--test/test_cartoon_3/ADM_BS_gxx.xl42
-rw-r--r--test/test_cartoon_3/ADM_BS_gxx.yl12
-rw-r--r--test/test_cartoon_3/ADM_BS_gxx.zl44
-rw-r--r--test/test_cartoon_3/ADM_BS_gxx_max.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_gxx_min.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_gxx_nm1.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_gxx_nm2.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_phi.dl12
-rw-r--r--test/test_cartoon_3/ADM_BS_phi.xl42
-rw-r--r--test/test_cartoon_3/ADM_BS_phi.yl12
-rw-r--r--test/test_cartoon_3/ADM_BS_phi.zl44
-rw-r--r--test/test_cartoon_3/ADM_BS_phi_max.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_phi_min.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_phi_nm1.tl3
-rw-r--r--test/test_cartoon_3/ADM_BS_phi_nm2.tl3
-rw-r--r--test/test_cartoon_3/ahf.gauss438
-rw-r--r--test/test_cartoon_3/ahf_area.tl3
-rw-r--r--test/test_cartoon_3/ahf_asymx.tl3
-rw-r--r--test/test_cartoon_3/ahf_asymy.tl3
-rw-r--r--test/test_cartoon_3/ahf_asymz.tl3
-rw-r--r--test/test_cartoon_3/ahf_circ_eq.tl3
-rw-r--r--test/test_cartoon_3/ahf_coeff.alm21
-rw-r--r--test/test_cartoon_3/ahf_mass.tl3
-rw-r--r--test/test_cartoon_3/ahf_meri_p1.tl3
-rw-r--r--test/test_cartoon_3/ahf_meri_p2.tl3
-rw-r--r--test/test_cartoon_3/ahf_rad.tl3
-rw-r--r--test/test_cartoon_3/alp.dl12
-rw-r--r--test/test_cartoon_3/alp.xl42
-rw-r--r--test/test_cartoon_3/alp.yl12
-rw-r--r--test/test_cartoon_3/alp.zl44
-rw-r--r--test/test_cartoon_3/alp_max.tl3
-rw-r--r--test/test_cartoon_3/alp_min.tl3
-rw-r--r--test/test_cartoon_3/alp_nm1.tl3
-rw-r--r--test/test_cartoon_3/alp_nm2.tl3
-rw-r--r--test/test_cartoon_3/grr.dl12
-rw-r--r--test/test_cartoon_3/grr.xl42
-rw-r--r--test/test_cartoon_3/grr.yl12
-rw-r--r--test/test_cartoon_3/grr.zl44
-rw-r--r--test/test_cartoon_3/grr_max.tl3
-rw-r--r--test/test_cartoon_3/grr_min.tl3
-rw-r--r--test/test_cartoon_3/grr_nm1.tl3
-rw-r--r--test/test_cartoon_3/grr_nm2.tl3
-rw-r--r--test/test_cartoon_3/gxx.dl12
-rw-r--r--test/test_cartoon_3/gxx.xl42
-rw-r--r--test/test_cartoon_3/gxx.yl12
-rw-r--r--test/test_cartoon_3/gxx.zl44
-rw-r--r--test/test_cartoon_3/gxx_max.tl3
-rw-r--r--test/test_cartoon_3/gxx_min.tl3
-rw-r--r--test/test_cartoon_3/gxx_nm1.tl3
-rw-r--r--test/test_cartoon_3/gxx_nm2.tl3
-rw-r--r--test/test_cartoon_3/gxy.dl12
-rw-r--r--test/test_cartoon_3/gxy.xl42
-rw-r--r--test/test_cartoon_3/gxy.yl12
-rw-r--r--test/test_cartoon_3/gxy.zl44
-rw-r--r--test/test_cartoon_3/gxy_max.tl3
-rw-r--r--test/test_cartoon_3/gxy_min.tl3
-rw-r--r--test/test_cartoon_3/gxy_nm1.tl3
-rw-r--r--test/test_cartoon_3/gxy_nm2.tl3
-rw-r--r--test/test_cartoon_3/gxz.dl12
-rw-r--r--test/test_cartoon_3/gxz.xl42
-rw-r--r--test/test_cartoon_3/gxz.yl12
-rw-r--r--test/test_cartoon_3/gxz.zl44
-rw-r--r--test/test_cartoon_3/gxz_max.tl3
-rw-r--r--test/test_cartoon_3/gxz_min.tl3
-rw-r--r--test/test_cartoon_3/gxz_nm1.tl3
-rw-r--r--test/test_cartoon_3/gxz_nm2.tl3
-rw-r--r--test/test_cartoon_3/gyy.dl12
-rw-r--r--test/test_cartoon_3/gyy.xl42
-rw-r--r--test/test_cartoon_3/gyy.yl12
-rw-r--r--test/test_cartoon_3/gyy.zl44
-rw-r--r--test/test_cartoon_3/gyy_max.tl3
-rw-r--r--test/test_cartoon_3/gyy_min.tl3
-rw-r--r--test/test_cartoon_3/gyy_nm1.tl3
-rw-r--r--test/test_cartoon_3/gyy_nm2.tl3
-rw-r--r--test/test_cartoon_3/gyz.dl12
-rw-r--r--test/test_cartoon_3/gyz.xl42
-rw-r--r--test/test_cartoon_3/gyz.yl12
-rw-r--r--test/test_cartoon_3/gyz.zl44
-rw-r--r--test/test_cartoon_3/gyz_max.tl3
-rw-r--r--test/test_cartoon_3/gyz_min.tl3
-rw-r--r--test/test_cartoon_3/gyz_nm1.tl3
-rw-r--r--test/test_cartoon_3/gyz_nm2.tl3
-rw-r--r--test/test_cartoon_3/gzz.dl12
-rw-r--r--test/test_cartoon_3/gzz.xl42
-rw-r--r--test/test_cartoon_3/gzz.yl12
-rw-r--r--test/test_cartoon_3/gzz.zl44
-rw-r--r--test/test_cartoon_3/gzz_max.tl3
-rw-r--r--test/test_cartoon_3/gzz_min.tl3
-rw-r--r--test/test_cartoon_3/gzz_nm1.tl3
-rw-r--r--test/test_cartoon_3/gzz_nm2.tl3
-rw-r--r--test/test_cartoon_3/ham.dl12
-rw-r--r--test/test_cartoon_3/ham.xl42
-rw-r--r--test/test_cartoon_3/ham.yl12
-rw-r--r--test/test_cartoon_3/ham.zl44
-rw-r--r--test/test_cartoon_3/ham_max.tl3
-rw-r--r--test/test_cartoon_3/ham_min.tl3
-rw-r--r--test/test_cartoon_3/ham_nm1.tl3
-rw-r--r--test/test_cartoon_3/ham_nm2.tl3
-rw-r--r--test/test_cartoon_3/momx.dl12
-rw-r--r--test/test_cartoon_3/momx.xl42
-rw-r--r--test/test_cartoon_3/momx.yl12
-rw-r--r--test/test_cartoon_3/momx.zl44
-rw-r--r--test/test_cartoon_3/momx_max.tl3
-rw-r--r--test/test_cartoon_3/momx_min.tl3
-rw-r--r--test/test_cartoon_3/momx_nm1.tl3
-rw-r--r--test/test_cartoon_3/momx_nm2.tl3
-rw-r--r--test/test_cartoon_3/momy.dl12
-rw-r--r--test/test_cartoon_3/momy.xl42
-rw-r--r--test/test_cartoon_3/momy.yl12
-rw-r--r--test/test_cartoon_3/momy.zl44
-rw-r--r--test/test_cartoon_3/momy_max.tl3
-rw-r--r--test/test_cartoon_3/momy_min.tl3
-rw-r--r--test/test_cartoon_3/momy_nm1.tl3
-rw-r--r--test/test_cartoon_3/momy_nm2.tl3
-rw-r--r--test/test_cartoon_3/momz.dl12
-rw-r--r--test/test_cartoon_3/momz.xl42
-rw-r--r--test/test_cartoon_3/momz.yl12
-rw-r--r--test/test_cartoon_3/momz.zl44
-rw-r--r--test/test_cartoon_3/momz_max.tl3
-rw-r--r--test/test_cartoon_3/momz_min.tl3
-rw-r--r--test/test_cartoon_3/momz_nm1.tl3
-rw-r--r--test/test_cartoon_3/momz_nm2.tl3
-rw-r--r--test/test_cartoon_3/test_cartoon_1.par90
-rw-r--r--test/test_cartoon_3/trK.dl12
-rw-r--r--test/test_cartoon_3/trK.xl42
-rw-r--r--test/test_cartoon_3/trK.yl12
-rw-r--r--test/test_cartoon_3/trK.zl44
-rw-r--r--test/test_cartoon_3/trK_max.tl3
-rw-r--r--test/test_cartoon_3/trK_min.tl3
-rw-r--r--test/test_cartoon_3/trK_nm1.tl3
-rw-r--r--test/test_cartoon_3/trK_nm2.tl3
167 files changed, 3117 insertions, 57 deletions
diff --git a/src/CheckParameters.c b/src/CheckParameters.c
index 224dfed..90fc1f1 100644
--- a/src/CheckParameters.c
+++ b/src/CheckParameters.c
@@ -15,6 +15,32 @@ static const char *rcsid = "$Id$";
CCTK_FILEVERSION(Development_Cartoon2D_CheckParameters_c)
+/********************************************************************
+ ********************* Local Data Types ***********************
+ ********************************************************************/
+
+/********************************************************************
+ ********************* Local Routine Prototypes *********************
+ ********************************************************************/
+
+/********************************************************************
+ ***************** Scheduled Routine Prototypes *********************
+ ********************************************************************/
+
+void Cartoon2D_CheckParameters(CCTK_ARGUMENTS);
+
+/********************************************************************
+ ********************* Other Routine Prototypes *********************
+ ********************************************************************/
+
+/********************************************************************
+ ********************* Local Data *****************************
+ ********************************************************************/
+
+/********************************************************************
+ ********************* External Routines **********************
+ ********************************************************************/
+
/*@@
@routine Cartoon2D_CheckParameters
@date Wed Nov 3 10:17:46 MET 1999
diff --git a/src/SetSym.c b/src/SetSym.c
index 423b0b0..febd1b4 100644
--- a/src/SetSym.c
+++ b/src/SetSym.c
@@ -81,27 +81,53 @@ Cartoon_SetSym_Einstein(CCTK_ARGUMENTS)
DECLARE_CCTK_ARGUMENTS
int one;
+ int testsystem;
char* evo_sys;
CCTK_INFO("Resetting cartoon boundary symmetries for Einstein variables");
- ResetSym(cctkGH, "einstein::gxx");
- ResetSym(cctkGH, "einstein::gyy");
- ResetSym(cctkGH, "einstein::gzz");
- ResetSym(cctkGH, "einstein::gxy");
- ResetSym(cctkGH, "einstein::gxz");
- ResetSym(cctkGH, "einstein::gyz");
- ResetSym(cctkGH, "einstein::kxx");
- ResetSym(cctkGH, "einstein::kyy");
- ResetSym(cctkGH, "einstein::kzz");
- ResetSym(cctkGH, "einstein::kxy");
- ResetSym(cctkGH, "einstein::kxz");
- ResetSym(cctkGH, "einstein::kyz");
- ResetSym(cctkGH, "einstein::alp");
- ResetSym(cctkGH, "einstein::betax");
- ResetSym(cctkGH, "einstein::betay");
- ResetSym(cctkGH, "einstein::betaz");
- ResetSym(cctkGH, "einstein::emask");
+ /* Handle both old and new einstein systems */
+ if (CCTK_GroupIndex("einstein::metric") > -1)
+ {
+ ResetSym(cctkGH, "einstein::gxx");
+ ResetSym(cctkGH, "einstein::gyy");
+ ResetSym(cctkGH, "einstein::gzz");
+ ResetSym(cctkGH, "einstein::gxy");
+ ResetSym(cctkGH, "einstein::gxz");
+ ResetSym(cctkGH, "einstein::gyz");
+ ResetSym(cctkGH, "einstein::kxx");
+ ResetSym(cctkGH, "einstein::kyy");
+ ResetSym(cctkGH, "einstein::kzz");
+ ResetSym(cctkGH, "einstein::kxy");
+ ResetSym(cctkGH, "einstein::kxz");
+ ResetSym(cctkGH, "einstein::kyz");
+ ResetSym(cctkGH, "einstein::alp");
+ ResetSym(cctkGH, "einstein::betax");
+ ResetSym(cctkGH, "einstein::betay");
+ ResetSym(cctkGH, "einstein::betaz");
+ ResetSym(cctkGH, "einstein::emask");
+ }
+ else if (CCTK_GroupIndex("admbase::metric") > -1)
+ {
+ ResetSym(cctkGH, "admbase::gxx");
+ ResetSym(cctkGH, "admbase::gyy");
+ ResetSym(cctkGH, "admbase::gzz");
+ ResetSym(cctkGH, "admbase::gxy");
+ ResetSym(cctkGH, "admbase::gxz");
+ ResetSym(cctkGH, "admbase::gyz");
+ ResetSym(cctkGH, "admbase::kxx");
+ ResetSym(cctkGH, "admbase::kyy");
+ ResetSym(cctkGH, "admbase::kzz");
+ ResetSym(cctkGH, "admbase::kxy");
+ ResetSym(cctkGH, "admbase::kxz");
+ ResetSym(cctkGH, "admbase::kyz");
+ ResetSym(cctkGH, "admbase::alp");
+ ResetSym(cctkGH, "admbase::betax");
+ ResetSym(cctkGH, "admbase::betay");
+ ResetSym(cctkGH, "admbase::betaz");
+ ResetSym(cctkGH, "spacemask::emask");
+ }
+
}
/*@@
@@ -121,52 +147,70 @@ Cartoon_SetSym_BSSN(CCTK_ARGUMENTS)
DECLARE_CCTK_ARGUMENTS
DECLARE_CCTK_PARAMETERS
+ int ret=0;
char* method;
char* evolution_system;
+ char* evolution_method;
evolution_system = CCTK_ParameterValString("evolution_system", "Einstein");
-
- if (!CCTK_Equals(evolution_system, "adm_bssn"))
+ evolution_method = CCTK_ParameterValString("evolution_method", "ADMBase");
+
+ if (evolution_system)
+ {
+ ret = CCTK_Equals(evolution_system, "adm_bssn");
+ }
+ else if (evolution_method)
+ {
+ ret = CCTK_Equals(evolution_method, "adm_bssn");
+ }
+
+ if (ret)
+ {
+
+ CCTK_INFO("Resetting cartoon boundary symmetries for ADM_BSSN variables");
+
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_dtalp");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_phi");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_gxx");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_gyy");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_gzz");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_K");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_Axx");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_Ayy");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_Azz");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_mask");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_gxy");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_Axy");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_gxz");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_Axz");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_gyz");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_Ayz");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_Gx");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_Bx");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_dirx");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_Gy");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_By");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_diry");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_Gz");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_Bz");
+ ResetSym(cctkGH, "adm_bssn::ADM_BS_dirz");
+
+ if (CCTK_GroupIndex("einstein::shift") > -1)
{
- free(evolution_system);
- return;
+ ResetSym(cctkGH, "einstein::betax");
+ ResetSym(cctkGH, "einstein::betay");
+ ResetSym(cctkGH, "einstein::betaz");
+ }
+ else if (CCTK_GroupIndex("admbase::shift") > -1)
+ {
+ ResetSym(cctkGH, "admbase::betax");
+ ResetSym(cctkGH, "admbase::betay");
+ ResetSym(cctkGH, "admbase::betaz");
}
- free(evolution_system);
- CCTK_INFO("Resetting cartoon boundary symmetries for ADM_BSSN variables");
-
- ResetSym(cctkGH, "adm_bssn::ADM_BS_dtalp");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_phi");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_gxx");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_gyy");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_gzz");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_K");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_Axx");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_Ayy");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_Azz");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_mask");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_gxy");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_Axy");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_gxz");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_Axz");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_gyz");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_Ayz");
- ResetSym(cctkGH, "einstein::betax");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_Gx");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_Bx");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_dirx");
- ResetSym(cctkGH, "einstein::betay");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_Gy");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_By");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_diry");
- ResetSym(cctkGH, "einstein::betaz");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_Gz");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_Bz");
- ResetSym(cctkGH, "adm_bssn::ADM_BS_dirz");
-
- method = CCTK_ParameterValString("method", "ADM_BSSN");
-
- if (CCTK_Equals(method, "stagleap"))
+ method = CCTK_ParameterValString("method", "ADM_BSSN");
+
+ if (CCTK_Equals(method, "stagleap"))
{
ResetSym(cctkGH, "adm_bssn::ADM_BS_K_stag");
ResetSym(cctkGH, "adm_bssn::ADM_BS_Axx_stag");
@@ -176,6 +220,11 @@ Cartoon_SetSym_BSSN(CCTK_ARGUMENTS)
ResetSym(cctkGH, "adm_bssn::ADM_BS_Axz_stag");
ResetSym(cctkGH, "adm_bssn::ADM_BS_Ayz_stag");
}
- free(method);
+ free(method);
+
+ }
+
+ free(evolution_system);
+ free(evolution_method);
}
diff --git a/test/test_cartoon_3.par b/test/test_cartoon_3.par
new file mode 100644
index 0000000..194b158
--- /dev/null
+++ b/test/test_cartoon_3.par
@@ -0,0 +1,91 @@
+#==============================================================================
+# Cartoon2D, using a bitant grid
+#==============================================================================
+
+ActiveThorns = "cartoon2d idanalyticbh coordgauge admcoupling admmacros admbase admanalysis spacemask staticconformal adm_bssn admconstraints ahfinder pughinterp boundary time pugh pughreduce pughslab cartgrid3d ioascii ioutil iobasic"
+
+#------------------------------------------------------------------------------
+# Run parameters for Cartoon
+#------------------------------------------------------------------------------
+
+# Cartoon
+cartoon2d::cartoon_active = "yes"
+cartoon2d::verbose = "yes"
+cartoon2d::stencil = "yes"
+cartoon2d::order = 3
+cartoon2d::allow_grid_resize = "yes"
+
+# This is for 2 processors, you have to
+# change manually by processor numbers.
+
+#driver::processor_topology = "manual"
+#driver::processor_topology_3d_x = 1
+#driver::processor_topology_3d_y = 1
+#driver::processor_topology_3d_z = 2
+
+# Grid
+
+driver::global_nx = 16
+driver::global_ny = 3
+driver::global_nz = 16
+
+driver::ghost_size_x = 2
+driver::ghost_size_y = 1
+driver::ghost_size_z = 2
+
+grid::avoid_originy = "no"
+
+grid::type = "byspacing"
+grid::domain = "bitant"
+grid::bitant_plane = "xy"
+grid::dxyz = 0.2
+
+time::dtfac = 0.25
+
+cactus::cctk_itlast = 1
+
+# MODEL
+
+admbase::initial_data = "schwarzschild"
+
+#--- Evolution
+admbase::metric_type = "static conformal"
+admbase::evolution_method = "adm_bssn"
+adm_bssn::method = "leapfrog"
+adm_bssn::bound = "flat"
+adm_bssn::cartoon = "yes"
+admconstraints::cartoon = "yes"
+
+#--- gauge
+admbase::lapse_evolution_method = "1+log"
+
+#--- AHFinder.
+
+ahfinder::ahf_active = "yes"
+ahfinder::ahf_cartoon = "yes"
+ahfinder::ahf_gaussout = "yes"
+
+ahfinder::ahf_phi = "no"
+ahfinder::ahf_octant = "high"
+
+ahfinder::ahf_lmax = 0
+
+# Interpolation.
+
+ahfinder::interpolation_order = 1
+
+#------------------------------------------------------------------------------
+# Output
+#------------------------------------------------------------------------------
+IO::outdir = "test_cartoon_3"
+IO::out_fileinfo = "none"
+
+IOBasic::outScalar_every = 1
+IOBasic::outScalar_vars = "admconstraints::hamiltonian admconstraints::momentum admbase::alp admanalysis::grr admbase::metric admanalysis::trace_of_K admbase::betax admbase::betay admbase::betaz adm_bssn::adm_bs_gxx adm_bssn::adm_bs_phi adm_bssn::ADM_BSSN_K adm_bssn::ADM_BSSN_gamma"
+
+IOASCII::out1D_every = 1
+IOASCII::out1D_vars = "admconstraints::hamiltonian admconstraints::momentum admbase::alp admanalysis::grr admbase::metric admanalysis::trace_of_K admbase::betax admbase::betay admbase::betaz adm_bssn::adm_bs_gxx adm_bssn::adm_bs_phi adm_bssn::ADM_BSSN_K adm_bssn::ADM_BSSN_gamma"
+
+IOBasic::outInfo_every = 1
+IOBasic::outInfo_vars = "admbase::alp adm_bssn::adm_bs_dtalp"
+#==============================================================================
diff --git a/test/test_cartoon_3/ADM_BS_Gx.dl b/test/test_cartoon_3/ADM_BS_Gx.dl
new file mode 100644
index 0000000..eca9300
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gx.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 -0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gx.xl b/test/test_cartoon_3/ADM_BS_Gx.xl
new file mode 100644
index 0000000..54b70ba
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gx.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gx.yl b/test/test_cartoon_3/ADM_BS_Gx.yl
new file mode 100644
index 0000000..1dfa985
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gx.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 -0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gx.zl b/test/test_cartoon_3/ADM_BS_Gx.zl
new file mode 100644
index 0000000..50b6eed
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gx.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gx_max.tl b/test/test_cartoon_3/ADM_BS_Gx_max.tl
new file mode 100644
index 0000000..3a15cac
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gx_max.tl
@@ -0,0 +1,3 @@
+"ADM_BS_Gx v time
+0.0000000000000 -0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gx_min.tl b/test/test_cartoon_3/ADM_BS_Gx_min.tl
new file mode 100644
index 0000000..3a15cac
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gx_min.tl
@@ -0,0 +1,3 @@
+"ADM_BS_Gx v time
+0.0000000000000 -0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gx_nm1.tl b/test/test_cartoon_3/ADM_BS_Gx_nm1.tl
new file mode 100644
index 0000000..37a6d90
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gx_nm1.tl
@@ -0,0 +1,3 @@
+"ADM_BS_Gx v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gx_nm2.tl b/test/test_cartoon_3/ADM_BS_Gx_nm2.tl
new file mode 100644
index 0000000..37a6d90
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gx_nm2.tl
@@ -0,0 +1,3 @@
+"ADM_BS_Gx v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gy.dl b/test/test_cartoon_3/ADM_BS_Gy.dl
new file mode 100644
index 0000000..6f177da
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gy.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 -0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 -0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gy.xl b/test/test_cartoon_3/ADM_BS_Gy.xl
new file mode 100644
index 0000000..330b9ed
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gy.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 -0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gy.yl b/test/test_cartoon_3/ADM_BS_Gy.yl
new file mode 100644
index 0000000..1dfa985
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gy.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 -0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gy.zl b/test/test_cartoon_3/ADM_BS_Gy.zl
new file mode 100644
index 0000000..50b6eed
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gy.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gy_max.tl b/test/test_cartoon_3/ADM_BS_Gy_max.tl
new file mode 100644
index 0000000..fd80d6a
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gy_max.tl
@@ -0,0 +1,3 @@
+"ADM_BS_Gy v time
+0.0000000000000 -0.0000000000000
+0.0500000000000 -0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gy_min.tl b/test/test_cartoon_3/ADM_BS_Gy_min.tl
new file mode 100644
index 0000000..fd80d6a
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gy_min.tl
@@ -0,0 +1,3 @@
+"ADM_BS_Gy v time
+0.0000000000000 -0.0000000000000
+0.0500000000000 -0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gy_nm1.tl b/test/test_cartoon_3/ADM_BS_Gy_nm1.tl
new file mode 100644
index 0000000..1a2925b
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gy_nm1.tl
@@ -0,0 +1,3 @@
+"ADM_BS_Gy v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gy_nm2.tl b/test/test_cartoon_3/ADM_BS_Gy_nm2.tl
new file mode 100644
index 0000000..1a2925b
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gy_nm2.tl
@@ -0,0 +1,3 @@
+"ADM_BS_Gy v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gz.dl b/test/test_cartoon_3/ADM_BS_Gz.dl
new file mode 100644
index 0000000..4ab3bf6
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gz.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 0.0000000000000
+0.1732050807569 -0.0000000000000
+0.5196152422707 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gz.xl b/test/test_cartoon_3/ADM_BS_Gz.xl
new file mode 100644
index 0000000..54b70ba
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gz.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gz.yl b/test/test_cartoon_3/ADM_BS_Gz.yl
new file mode 100644
index 0000000..1dfa985
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gz.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 -0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gz.zl b/test/test_cartoon_3/ADM_BS_Gz.zl
new file mode 100644
index 0000000..4759490
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gz.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 -0.0000000000000
+-0.1000000000000 -0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gz_max.tl b/test/test_cartoon_3/ADM_BS_Gz_max.tl
new file mode 100644
index 0000000..eb73186
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gz_max.tl
@@ -0,0 +1,3 @@
+"ADM_BS_Gz v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gz_min.tl b/test/test_cartoon_3/ADM_BS_Gz_min.tl
new file mode 100644
index 0000000..eb73186
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gz_min.tl
@@ -0,0 +1,3 @@
+"ADM_BS_Gz v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gz_nm1.tl b/test/test_cartoon_3/ADM_BS_Gz_nm1.tl
new file mode 100644
index 0000000..eb73186
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gz_nm1.tl
@@ -0,0 +1,3 @@
+"ADM_BS_Gz v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_Gz_nm2.tl b/test/test_cartoon_3/ADM_BS_Gz_nm2.tl
new file mode 100644
index 0000000..eb73186
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_Gz_nm2.tl
@@ -0,0 +1,3 @@
+"ADM_BS_Gz v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_K.dl b/test/test_cartoon_3/ADM_BS_K.dl
new file mode 100644
index 0000000..7f39b6b
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_K.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_K.xl b/test/test_cartoon_3/ADM_BS_K.xl
new file mode 100644
index 0000000..54b70ba
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_K.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_K.yl b/test/test_cartoon_3/ADM_BS_K.yl
new file mode 100644
index 0000000..e301084
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_K.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_K.zl b/test/test_cartoon_3/ADM_BS_K.zl
new file mode 100644
index 0000000..50b6eed
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_K.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_K_max.tl b/test/test_cartoon_3/ADM_BS_K_max.tl
new file mode 100644
index 0000000..47bc86b
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_K_max.tl
@@ -0,0 +1,3 @@
+"ADM_BS_K v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_K_min.tl b/test/test_cartoon_3/ADM_BS_K_min.tl
new file mode 100644
index 0000000..47bc86b
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_K_min.tl
@@ -0,0 +1,3 @@
+"ADM_BS_K v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_K_nm1.tl b/test/test_cartoon_3/ADM_BS_K_nm1.tl
new file mode 100644
index 0000000..47bc86b
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_K_nm1.tl
@@ -0,0 +1,3 @@
+"ADM_BS_K v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_K_nm2.tl b/test/test_cartoon_3/ADM_BS_K_nm2.tl
new file mode 100644
index 0000000..47bc86b
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_K_nm2.tl
@@ -0,0 +1,3 @@
+"ADM_BS_K v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_gxx.dl b/test/test_cartoon_3/ADM_BS_gxx.dl
new file mode 100644
index 0000000..16ba64a
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_gxx.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 1.0000000000000
+0.1732050807569 1.0000000000000
+0.5196152422707 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 1.0000000000000
+0.1732050807569 1.0000000000000
+0.5196152422707 1.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_gxx.xl b/test/test_cartoon_3/ADM_BS_gxx.xl
new file mode 100644
index 0000000..a3258eb
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_gxx.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_gxx.yl b/test/test_cartoon_3/ADM_BS_gxx.yl
new file mode 100644
index 0000000..124a54e
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_gxx.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 1.0000000000000
+0.0000000000000 1.0000000000000
+0.2000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 1.0000000000000
+0.0000000000000 1.0000000000000
+0.2000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_gxx.zl b/test/test_cartoon_3/ADM_BS_gxx.zl
new file mode 100644
index 0000000..294e3bc
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_gxx.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 1.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 1.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_gxx_max.tl b/test/test_cartoon_3/ADM_BS_gxx_max.tl
new file mode 100644
index 0000000..e1b95de
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_gxx_max.tl
@@ -0,0 +1,3 @@
+"ADM_BS_gxx v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_gxx_min.tl b/test/test_cartoon_3/ADM_BS_gxx_min.tl
new file mode 100644
index 0000000..e1b95de
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_gxx_min.tl
@@ -0,0 +1,3 @@
+"ADM_BS_gxx v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_gxx_nm1.tl b/test/test_cartoon_3/ADM_BS_gxx_nm1.tl
new file mode 100644
index 0000000..e1b95de
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_gxx_nm1.tl
@@ -0,0 +1,3 @@
+"ADM_BS_gxx v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_gxx_nm2.tl b/test/test_cartoon_3/ADM_BS_gxx_nm2.tl
new file mode 100644
index 0000000..e1b95de
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_gxx_nm2.tl
@@ -0,0 +1,3 @@
+"ADM_BS_gxx v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_phi.dl b/test/test_cartoon_3/ADM_BS_phi.dl
new file mode 100644
index 0000000..7f39b6b
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_phi.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_phi.xl b/test/test_cartoon_3/ADM_BS_phi.xl
new file mode 100644
index 0000000..54b70ba
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_phi.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_phi.yl b/test/test_cartoon_3/ADM_BS_phi.yl
new file mode 100644
index 0000000..e301084
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_phi.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_phi.zl b/test/test_cartoon_3/ADM_BS_phi.zl
new file mode 100644
index 0000000..50b6eed
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_phi.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_phi_max.tl b/test/test_cartoon_3/ADM_BS_phi_max.tl
new file mode 100644
index 0000000..4249897
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_phi_max.tl
@@ -0,0 +1,3 @@
+"ADM_BS_phi v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_phi_min.tl b/test/test_cartoon_3/ADM_BS_phi_min.tl
new file mode 100644
index 0000000..4249897
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_phi_min.tl
@@ -0,0 +1,3 @@
+"ADM_BS_phi v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_phi_nm1.tl b/test/test_cartoon_3/ADM_BS_phi_nm1.tl
new file mode 100644
index 0000000..4249897
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_phi_nm1.tl
@@ -0,0 +1,3 @@
+"ADM_BS_phi v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ADM_BS_phi_nm2.tl b/test/test_cartoon_3/ADM_BS_phi_nm2.tl
new file mode 100644
index 0000000..4249897
--- /dev/null
+++ b/test/test_cartoon_3/ADM_BS_phi_nm2.tl
@@ -0,0 +1,3 @@
+"ADM_BS_phi v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/ahf.gauss b/test/test_cartoon_3/ahf.gauss
new file mode 100644
index 0000000..d31b25c
--- /dev/null
+++ b/test/test_cartoon_3/ahf.gauss
@@ -0,0 +1,438 @@
+# GAUSSIAN CURVATURE
+#
+# The data is written in a loop as:
+#
+ # do i=1,ntheta
+ # do j=1,nphi
+# write gaussian(i,j)
+# end do
+# end do
+#
+# theta and phi are subdivided uniformly
+# according to symmetries:
+#
+# phi=[0,2 pi] (refx=refy=.false.)
+# phi=[0,pi] (refx=.false., refy=.true.)
+# phi=[0,pi/2] (refx=refy=.true.)
+#
+# theta=[0,pi] (refz=.false.)
+# theta=[0,pi/2] (refz=.true.)
+#
+# refx = T
+# refy = T
+# refz = T
+#
+# ntheta = 101
+# nphi = 2
+
+# Time step 0
+# Time 0.000E+00
+# Call 1
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+
+# Time step 1
+# Time 5.000E-02
+# Call 2
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
+ NaN
diff --git a/test/test_cartoon_3/ahf_area.tl b/test/test_cartoon_3/ahf_area.tl
new file mode 100644
index 0000000..0473ccb
--- /dev/null
+++ b/test/test_cartoon_3/ahf_area.tl
@@ -0,0 +1,3 @@
+" Horizon area
+ 0.000000E+00 9.171656E+02
+ 5.000000E-02 9.171656E+02
diff --git a/test/test_cartoon_3/ahf_asymx.tl b/test/test_cartoon_3/ahf_asymx.tl
new file mode 100644
index 0000000..7299cb9
--- /dev/null
+++ b/test/test_cartoon_3/ahf_asymx.tl
@@ -0,0 +1,3 @@
+" Asymmetries on x reflection
+ 0.000000E+00 0.000000E+00
+ 5.000000E-02 0.000000E+00
diff --git a/test/test_cartoon_3/ahf_asymy.tl b/test/test_cartoon_3/ahf_asymy.tl
new file mode 100644
index 0000000..1ed4588
--- /dev/null
+++ b/test/test_cartoon_3/ahf_asymy.tl
@@ -0,0 +1,3 @@
+" Asymmetries on y reflection
+ 0.000000E+00 0.000000E+00
+ 5.000000E-02 0.000000E+00
diff --git a/test/test_cartoon_3/ahf_asymz.tl b/test/test_cartoon_3/ahf_asymz.tl
new file mode 100644
index 0000000..a88d7f8
--- /dev/null
+++ b/test/test_cartoon_3/ahf_asymz.tl
@@ -0,0 +1,3 @@
+" Asymmetries on z reflection
+ 0.000000E+00 0.000000E+00
+ 5.000000E-02 0.000000E+00
diff --git a/test/test_cartoon_3/ahf_circ_eq.tl b/test/test_cartoon_3/ahf_circ_eq.tl
new file mode 100644
index 0000000..846eef3
--- /dev/null
+++ b/test/test_cartoon_3/ahf_circ_eq.tl
@@ -0,0 +1,3 @@
+" Equatorial circumference
+ 0.000000E+00 0.000000E+00
+ 5.000000E-02 0.000000E+00
diff --git a/test/test_cartoon_3/ahf_coeff.alm b/test/test_cartoon_3/ahf_coeff.alm
new file mode 100644
index 0000000..a01bfe3
--- /dev/null
+++ b/test/test_cartoon_3/ahf_coeff.alm
@@ -0,0 +1,21 @@
+# Radial coefficients
+#
+# centered on: 0.000000E+00 0.000000E+00 0.000000E+00
+# Time step 0
+# Time 0.000000E+00
+# Call 1
+# Surface found: Outer horizon?
+#
+# a_lm l m
+#
+ 2.900000E-01 0 0
+
+# centered on: 0.000000E+00 0.000000E+00 0.000000E+00
+# Time step 1
+# Time 5.000000E-02
+# Call 2
+# Surface found: Outer horizon?
+#
+# a_lm l m
+#
+ 2.900000E-01 0 0
diff --git a/test/test_cartoon_3/ahf_mass.tl b/test/test_cartoon_3/ahf_mass.tl
new file mode 100644
index 0000000..2f83913
--- /dev/null
+++ b/test/test_cartoon_3/ahf_mass.tl
@@ -0,0 +1,3 @@
+" Horizon mass
+ 0.000000E+00 4.271584E+00
+ 5.000000E-02 4.271584E+00
diff --git a/test/test_cartoon_3/ahf_meri_p1.tl b/test/test_cartoon_3/ahf_meri_p1.tl
new file mode 100644
index 0000000..a1e6ca7
--- /dev/null
+++ b/test/test_cartoon_3/ahf_meri_p1.tl
@@ -0,0 +1,3 @@
+" Length of meridian, phi=0
+ 0.000000E+00 5.247233E+01
+ 5.000000E-02 5.247233E+01
diff --git a/test/test_cartoon_3/ahf_meri_p2.tl b/test/test_cartoon_3/ahf_meri_p2.tl
new file mode 100644
index 0000000..c9ea10c
--- /dev/null
+++ b/test/test_cartoon_3/ahf_meri_p2.tl
@@ -0,0 +1,3 @@
+" Length of meridian, phi=pi/2
+ 0.000000E+00 5.247233E+01
+ 5.000000E-02 5.247233E+01
diff --git a/test/test_cartoon_3/ahf_rad.tl b/test/test_cartoon_3/ahf_rad.tl
new file mode 100644
index 0000000..a6ea8d9
--- /dev/null
+++ b/test/test_cartoon_3/ahf_rad.tl
@@ -0,0 +1,3 @@
+" Horizon radius
+ 0.000000E+00 2.900000E-01
+ 5.000000E-02 2.900000E-01
diff --git a/test/test_cartoon_3/alp.dl b/test/test_cartoon_3/alp.dl
new file mode 100644
index 0000000..16ba64a
--- /dev/null
+++ b/test/test_cartoon_3/alp.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 1.0000000000000
+0.1732050807569 1.0000000000000
+0.5196152422707 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 1.0000000000000
+0.1732050807569 1.0000000000000
+0.5196152422707 1.0000000000000
diff --git a/test/test_cartoon_3/alp.xl b/test/test_cartoon_3/alp.xl
new file mode 100644
index 0000000..a3258eb
--- /dev/null
+++ b/test/test_cartoon_3/alp.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/alp.yl b/test/test_cartoon_3/alp.yl
new file mode 100644
index 0000000..124a54e
--- /dev/null
+++ b/test/test_cartoon_3/alp.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 1.0000000000000
+0.0000000000000 1.0000000000000
+0.2000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 1.0000000000000
+0.0000000000000 1.0000000000000
+0.2000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/alp.zl b/test/test_cartoon_3/alp.zl
new file mode 100644
index 0000000..294e3bc
--- /dev/null
+++ b/test/test_cartoon_3/alp.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 1.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 1.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/alp_max.tl b/test/test_cartoon_3/alp_max.tl
new file mode 100644
index 0000000..b02ef45
--- /dev/null
+++ b/test/test_cartoon_3/alp_max.tl
@@ -0,0 +1,3 @@
+"alp v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/alp_min.tl b/test/test_cartoon_3/alp_min.tl
new file mode 100644
index 0000000..b02ef45
--- /dev/null
+++ b/test/test_cartoon_3/alp_min.tl
@@ -0,0 +1,3 @@
+"alp v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/alp_nm1.tl b/test/test_cartoon_3/alp_nm1.tl
new file mode 100644
index 0000000..b02ef45
--- /dev/null
+++ b/test/test_cartoon_3/alp_nm1.tl
@@ -0,0 +1,3 @@
+"alp v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/alp_nm2.tl b/test/test_cartoon_3/alp_nm2.tl
new file mode 100644
index 0000000..b02ef45
--- /dev/null
+++ b/test/test_cartoon_3/alp_nm2.tl
@@ -0,0 +1,3 @@
+"alp v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/grr.dl b/test/test_cartoon_3/grr.dl
new file mode 100644
index 0000000..16ba64a
--- /dev/null
+++ b/test/test_cartoon_3/grr.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 1.0000000000000
+0.1732050807569 1.0000000000000
+0.5196152422707 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 1.0000000000000
+0.1732050807569 1.0000000000000
+0.5196152422707 1.0000000000000
diff --git a/test/test_cartoon_3/grr.xl b/test/test_cartoon_3/grr.xl
new file mode 100644
index 0000000..a3258eb
--- /dev/null
+++ b/test/test_cartoon_3/grr.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/grr.yl b/test/test_cartoon_3/grr.yl
new file mode 100644
index 0000000..124a54e
--- /dev/null
+++ b/test/test_cartoon_3/grr.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 1.0000000000000
+0.0000000000000 1.0000000000000
+0.2000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 1.0000000000000
+0.0000000000000 1.0000000000000
+0.2000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/grr.zl b/test/test_cartoon_3/grr.zl
new file mode 100644
index 0000000..294e3bc
--- /dev/null
+++ b/test/test_cartoon_3/grr.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 1.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 1.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/grr_max.tl b/test/test_cartoon_3/grr_max.tl
new file mode 100644
index 0000000..a4c9e19
--- /dev/null
+++ b/test/test_cartoon_3/grr_max.tl
@@ -0,0 +1,3 @@
+"grr v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/grr_min.tl b/test/test_cartoon_3/grr_min.tl
new file mode 100644
index 0000000..a4c9e19
--- /dev/null
+++ b/test/test_cartoon_3/grr_min.tl
@@ -0,0 +1,3 @@
+"grr v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/grr_nm1.tl b/test/test_cartoon_3/grr_nm1.tl
new file mode 100644
index 0000000..a4c9e19
--- /dev/null
+++ b/test/test_cartoon_3/grr_nm1.tl
@@ -0,0 +1,3 @@
+"grr v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/grr_nm2.tl b/test/test_cartoon_3/grr_nm2.tl
new file mode 100644
index 0000000..a4c9e19
--- /dev/null
+++ b/test/test_cartoon_3/grr_nm2.tl
@@ -0,0 +1,3 @@
+"grr v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gxx.dl b/test/test_cartoon_3/gxx.dl
new file mode 100644
index 0000000..16ba64a
--- /dev/null
+++ b/test/test_cartoon_3/gxx.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 1.0000000000000
+0.1732050807569 1.0000000000000
+0.5196152422707 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 1.0000000000000
+0.1732050807569 1.0000000000000
+0.5196152422707 1.0000000000000
diff --git a/test/test_cartoon_3/gxx.xl b/test/test_cartoon_3/gxx.xl
new file mode 100644
index 0000000..a3258eb
--- /dev/null
+++ b/test/test_cartoon_3/gxx.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gxx.yl b/test/test_cartoon_3/gxx.yl
new file mode 100644
index 0000000..124a54e
--- /dev/null
+++ b/test/test_cartoon_3/gxx.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 1.0000000000000
+0.0000000000000 1.0000000000000
+0.2000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 1.0000000000000
+0.0000000000000 1.0000000000000
+0.2000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gxx.zl b/test/test_cartoon_3/gxx.zl
new file mode 100644
index 0000000..294e3bc
--- /dev/null
+++ b/test/test_cartoon_3/gxx.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 1.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 1.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gxx_max.tl b/test/test_cartoon_3/gxx_max.tl
new file mode 100644
index 0000000..101ffa1
--- /dev/null
+++ b/test/test_cartoon_3/gxx_max.tl
@@ -0,0 +1,3 @@
+"gxx v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gxx_min.tl b/test/test_cartoon_3/gxx_min.tl
new file mode 100644
index 0000000..101ffa1
--- /dev/null
+++ b/test/test_cartoon_3/gxx_min.tl
@@ -0,0 +1,3 @@
+"gxx v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gxx_nm1.tl b/test/test_cartoon_3/gxx_nm1.tl
new file mode 100644
index 0000000..101ffa1
--- /dev/null
+++ b/test/test_cartoon_3/gxx_nm1.tl
@@ -0,0 +1,3 @@
+"gxx v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gxx_nm2.tl b/test/test_cartoon_3/gxx_nm2.tl
new file mode 100644
index 0000000..101ffa1
--- /dev/null
+++ b/test/test_cartoon_3/gxx_nm2.tl
@@ -0,0 +1,3 @@
+"gxx v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gxy.dl b/test/test_cartoon_3/gxy.dl
new file mode 100644
index 0000000..7f39b6b
--- /dev/null
+++ b/test/test_cartoon_3/gxy.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
diff --git a/test/test_cartoon_3/gxy.xl b/test/test_cartoon_3/gxy.xl
new file mode 100644
index 0000000..54b70ba
--- /dev/null
+++ b/test/test_cartoon_3/gxy.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gxy.yl b/test/test_cartoon_3/gxy.yl
new file mode 100644
index 0000000..e301084
--- /dev/null
+++ b/test/test_cartoon_3/gxy.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gxy.zl b/test/test_cartoon_3/gxy.zl
new file mode 100644
index 0000000..50b6eed
--- /dev/null
+++ b/test/test_cartoon_3/gxy.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gxy_max.tl b/test/test_cartoon_3/gxy_max.tl
new file mode 100644
index 0000000..db1b46f
--- /dev/null
+++ b/test/test_cartoon_3/gxy_max.tl
@@ -0,0 +1,3 @@
+"gxy v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gxy_min.tl b/test/test_cartoon_3/gxy_min.tl
new file mode 100644
index 0000000..db1b46f
--- /dev/null
+++ b/test/test_cartoon_3/gxy_min.tl
@@ -0,0 +1,3 @@
+"gxy v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gxy_nm1.tl b/test/test_cartoon_3/gxy_nm1.tl
new file mode 100644
index 0000000..db1b46f
--- /dev/null
+++ b/test/test_cartoon_3/gxy_nm1.tl
@@ -0,0 +1,3 @@
+"gxy v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gxy_nm2.tl b/test/test_cartoon_3/gxy_nm2.tl
new file mode 100644
index 0000000..db1b46f
--- /dev/null
+++ b/test/test_cartoon_3/gxy_nm2.tl
@@ -0,0 +1,3 @@
+"gxy v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gxz.dl b/test/test_cartoon_3/gxz.dl
new file mode 100644
index 0000000..7f39b6b
--- /dev/null
+++ b/test/test_cartoon_3/gxz.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
diff --git a/test/test_cartoon_3/gxz.xl b/test/test_cartoon_3/gxz.xl
new file mode 100644
index 0000000..54b70ba
--- /dev/null
+++ b/test/test_cartoon_3/gxz.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gxz.yl b/test/test_cartoon_3/gxz.yl
new file mode 100644
index 0000000..e301084
--- /dev/null
+++ b/test/test_cartoon_3/gxz.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gxz.zl b/test/test_cartoon_3/gxz.zl
new file mode 100644
index 0000000..50b6eed
--- /dev/null
+++ b/test/test_cartoon_3/gxz.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gxz_max.tl b/test/test_cartoon_3/gxz_max.tl
new file mode 100644
index 0000000..7917a26
--- /dev/null
+++ b/test/test_cartoon_3/gxz_max.tl
@@ -0,0 +1,3 @@
+"gxz v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gxz_min.tl b/test/test_cartoon_3/gxz_min.tl
new file mode 100644
index 0000000..7917a26
--- /dev/null
+++ b/test/test_cartoon_3/gxz_min.tl
@@ -0,0 +1,3 @@
+"gxz v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gxz_nm1.tl b/test/test_cartoon_3/gxz_nm1.tl
new file mode 100644
index 0000000..7917a26
--- /dev/null
+++ b/test/test_cartoon_3/gxz_nm1.tl
@@ -0,0 +1,3 @@
+"gxz v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gxz_nm2.tl b/test/test_cartoon_3/gxz_nm2.tl
new file mode 100644
index 0000000..7917a26
--- /dev/null
+++ b/test/test_cartoon_3/gxz_nm2.tl
@@ -0,0 +1,3 @@
+"gxz v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gyy.dl b/test/test_cartoon_3/gyy.dl
new file mode 100644
index 0000000..16ba64a
--- /dev/null
+++ b/test/test_cartoon_3/gyy.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 1.0000000000000
+0.1732050807569 1.0000000000000
+0.5196152422707 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 1.0000000000000
+0.1732050807569 1.0000000000000
+0.5196152422707 1.0000000000000
diff --git a/test/test_cartoon_3/gyy.xl b/test/test_cartoon_3/gyy.xl
new file mode 100644
index 0000000..a3258eb
--- /dev/null
+++ b/test/test_cartoon_3/gyy.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gyy.yl b/test/test_cartoon_3/gyy.yl
new file mode 100644
index 0000000..124a54e
--- /dev/null
+++ b/test/test_cartoon_3/gyy.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 1.0000000000000
+0.0000000000000 1.0000000000000
+0.2000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 1.0000000000000
+0.0000000000000 1.0000000000000
+0.2000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gyy.zl b/test/test_cartoon_3/gyy.zl
new file mode 100644
index 0000000..294e3bc
--- /dev/null
+++ b/test/test_cartoon_3/gyy.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 1.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 1.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gyy_max.tl b/test/test_cartoon_3/gyy_max.tl
new file mode 100644
index 0000000..f0333a5
--- /dev/null
+++ b/test/test_cartoon_3/gyy_max.tl
@@ -0,0 +1,3 @@
+"gyy v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gyy_min.tl b/test/test_cartoon_3/gyy_min.tl
new file mode 100644
index 0000000..f0333a5
--- /dev/null
+++ b/test/test_cartoon_3/gyy_min.tl
@@ -0,0 +1,3 @@
+"gyy v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gyy_nm1.tl b/test/test_cartoon_3/gyy_nm1.tl
new file mode 100644
index 0000000..f0333a5
--- /dev/null
+++ b/test/test_cartoon_3/gyy_nm1.tl
@@ -0,0 +1,3 @@
+"gyy v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gyy_nm2.tl b/test/test_cartoon_3/gyy_nm2.tl
new file mode 100644
index 0000000..f0333a5
--- /dev/null
+++ b/test/test_cartoon_3/gyy_nm2.tl
@@ -0,0 +1,3 @@
+"gyy v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gyz.dl b/test/test_cartoon_3/gyz.dl
new file mode 100644
index 0000000..16d5030
--- /dev/null
+++ b/test/test_cartoon_3/gyz.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 -0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
diff --git a/test/test_cartoon_3/gyz.xl b/test/test_cartoon_3/gyz.xl
new file mode 100644
index 0000000..330b9ed
--- /dev/null
+++ b/test/test_cartoon_3/gyz.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 -0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gyz.yl b/test/test_cartoon_3/gyz.yl
new file mode 100644
index 0000000..e301084
--- /dev/null
+++ b/test/test_cartoon_3/gyz.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gyz.zl b/test/test_cartoon_3/gyz.zl
new file mode 100644
index 0000000..50b6eed
--- /dev/null
+++ b/test/test_cartoon_3/gyz.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gyz_max.tl b/test/test_cartoon_3/gyz_max.tl
new file mode 100644
index 0000000..13a466c
--- /dev/null
+++ b/test/test_cartoon_3/gyz_max.tl
@@ -0,0 +1,3 @@
+"gyz v time
+0.0000000000000 0.0000000000000
+0.0500000000000 -0.0000000000000
diff --git a/test/test_cartoon_3/gyz_min.tl b/test/test_cartoon_3/gyz_min.tl
new file mode 100644
index 0000000..13a466c
--- /dev/null
+++ b/test/test_cartoon_3/gyz_min.tl
@@ -0,0 +1,3 @@
+"gyz v time
+0.0000000000000 0.0000000000000
+0.0500000000000 -0.0000000000000
diff --git a/test/test_cartoon_3/gyz_nm1.tl b/test/test_cartoon_3/gyz_nm1.tl
new file mode 100644
index 0000000..08c2ff2
--- /dev/null
+++ b/test/test_cartoon_3/gyz_nm1.tl
@@ -0,0 +1,3 @@
+"gyz v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gyz_nm2.tl b/test/test_cartoon_3/gyz_nm2.tl
new file mode 100644
index 0000000..08c2ff2
--- /dev/null
+++ b/test/test_cartoon_3/gyz_nm2.tl
@@ -0,0 +1,3 @@
+"gyz v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/gzz.dl b/test/test_cartoon_3/gzz.dl
new file mode 100644
index 0000000..16ba64a
--- /dev/null
+++ b/test/test_cartoon_3/gzz.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 1.0000000000000
+0.1732050807569 1.0000000000000
+0.5196152422707 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 1.0000000000000
+0.1732050807569 1.0000000000000
+0.5196152422707 1.0000000000000
diff --git a/test/test_cartoon_3/gzz.xl b/test/test_cartoon_3/gzz.xl
new file mode 100644
index 0000000..a3258eb
--- /dev/null
+++ b/test/test_cartoon_3/gzz.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gzz.yl b/test/test_cartoon_3/gzz.yl
new file mode 100644
index 0000000..124a54e
--- /dev/null
+++ b/test/test_cartoon_3/gzz.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 1.0000000000000
+0.0000000000000 1.0000000000000
+0.2000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 1.0000000000000
+0.0000000000000 1.0000000000000
+0.2000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gzz.zl b/test/test_cartoon_3/gzz.zl
new file mode 100644
index 0000000..294e3bc
--- /dev/null
+++ b/test/test_cartoon_3/gzz.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 1.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 1.0000000000000
+-0.1000000000000 1.0000000000000
+0.1000000000000 1.0000000000000
+0.3000000000000 1.0000000000000
+0.5000000000000 1.0000000000000
+0.7000000000000 1.0000000000000
+0.9000000000000 1.0000000000000
+1.1000000000000 1.0000000000000
+1.3000000000000 1.0000000000000
+1.5000000000000 1.0000000000000
+1.7000000000000 1.0000000000000
+1.9000000000000 1.0000000000000
+2.1000000000000 1.0000000000000
+2.3000000000000 1.0000000000000
+2.5000000000000 1.0000000000000
+2.7000000000000 1.0000000000000
+2.9000000000000 1.0000000000000
+3.1000000000000 1.0000000000000
+3.3000000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gzz_max.tl b/test/test_cartoon_3/gzz_max.tl
new file mode 100644
index 0000000..ec282d1
--- /dev/null
+++ b/test/test_cartoon_3/gzz_max.tl
@@ -0,0 +1,3 @@
+"gzz v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gzz_min.tl b/test/test_cartoon_3/gzz_min.tl
new file mode 100644
index 0000000..ec282d1
--- /dev/null
+++ b/test/test_cartoon_3/gzz_min.tl
@@ -0,0 +1,3 @@
+"gzz v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gzz_nm1.tl b/test/test_cartoon_3/gzz_nm1.tl
new file mode 100644
index 0000000..ec282d1
--- /dev/null
+++ b/test/test_cartoon_3/gzz_nm1.tl
@@ -0,0 +1,3 @@
+"gzz v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/gzz_nm2.tl b/test/test_cartoon_3/gzz_nm2.tl
new file mode 100644
index 0000000..ec282d1
--- /dev/null
+++ b/test/test_cartoon_3/gzz_nm2.tl
@@ -0,0 +1,3 @@
+"gzz v time
+0.0000000000000 1.0000000000000
+0.0500000000000 1.0000000000000
diff --git a/test/test_cartoon_3/ham.dl b/test/test_cartoon_3/ham.dl
new file mode 100644
index 0000000..a2605f1
--- /dev/null
+++ b/test/test_cartoon_3/ham.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 -0.0000000000000
+0.1732050807569 -0.0000000000000
+0.5196152422707 -0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 -0.0000036913097
+0.1732050807569 -0.0000000981498
+0.5196152422707 -0.0000036575525
diff --git a/test/test_cartoon_3/ham.xl b/test/test_cartoon_3/ham.xl
new file mode 100644
index 0000000..efeeb3c
--- /dev/null
+++ b/test/test_cartoon_3/ham.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 -0.0000000000000
+0.1000000000000 -0.0000000000000
+0.3000000000000 -0.0000000000000
+0.5000000000000 -0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 -0.0000000000000
+1.3000000000000 -0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 -0.0000000000000
+1.9000000000000 -0.0000000000000
+2.1000000000000 -0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 -0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 -0.0000000000000
+3.3000000000000 -0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 -0.0000000981498
+0.1000000000000 -0.0000000981498
+0.3000000000000 -0.0000022191092
+0.5000000000000 -0.0000075108024
+0.7000000000000 -0.0000122442733
+0.9000000000000 -0.0000144337785
+1.1000000000000 -0.0000144329192
+1.3000000000000 -0.0000131846667
+1.5000000000000 -0.0000114356294
+1.7000000000000 -0.0000096230116
+1.9000000000000 -0.0000079575109
+2.1000000000000 -0.0000065174667
+2.3000000000000 -0.0000053135060
+2.5000000000000 -0.0000043259524
+2.7000000000000 -0.0000035244578
+2.9000000000000 -0.0000028774613
+3.1000000000000 -0.0000023562477
+3.3000000000000 -0.0000023562477
diff --git a/test/test_cartoon_3/ham.yl b/test/test_cartoon_3/ham.yl
new file mode 100644
index 0000000..1d7d77c
--- /dev/null
+++ b/test/test_cartoon_3/ham.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 -0.0000000000000
+0.0000000000000 -0.0000000000000
+0.2000000000000 -0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 -0.0000010917998
+0.0000000000000 -0.0000000981498
+0.2000000000000 -0.0000010917998
diff --git a/test/test_cartoon_3/ham.zl b/test/test_cartoon_3/ham.zl
new file mode 100644
index 0000000..a9e59ef
--- /dev/null
+++ b/test/test_cartoon_3/ham.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 -0.0000000000000
+-0.1000000000000 -0.0000000000000
+0.1000000000000 -0.0000000000000
+0.3000000000000 -0.0000000000000
+0.5000000000000 -0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 -0.0000000000000
+1.3000000000000 -0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 -0.0000000000000
+1.9000000000000 -0.0000000000000
+2.1000000000000 -0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 -0.0000000000000
+2.7000000000000 -0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 -0.0000000000000
+3.3000000000000 -0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 -0.0000022191092
+-0.1000000000000 -0.0000000981498
+0.1000000000000 -0.0000000981498
+0.3000000000000 -0.0000022191092
+0.5000000000000 -0.0000075108024
+0.7000000000000 -0.0000122442733
+0.9000000000000 -0.0000144337785
+1.1000000000000 -0.0000144329192
+1.3000000000000 -0.0000131846667
+1.5000000000000 -0.0000114356294
+1.7000000000000 -0.0000096230116
+1.9000000000000 -0.0000079575109
+2.1000000000000 -0.0000065174667
+2.3000000000000 -0.0000053135060
+2.5000000000000 -0.0000043259524
+2.7000000000000 -0.0000035244578
+2.9000000000000 -0.0000028774613
+3.1000000000000 -0.0000023562477
+3.3000000000000 -0.0000023562477
diff --git a/test/test_cartoon_3/ham_max.tl b/test/test_cartoon_3/ham_max.tl
new file mode 100644
index 0000000..bc2ce18
--- /dev/null
+++ b/test/test_cartoon_3/ham_max.tl
@@ -0,0 +1,3 @@
+"ham v time
+0.0000000000000 0.0000000000000
+0.0500000000000 -0.0000000981498
diff --git a/test/test_cartoon_3/ham_min.tl b/test/test_cartoon_3/ham_min.tl
new file mode 100644
index 0000000..4a062e6
--- /dev/null
+++ b/test/test_cartoon_3/ham_min.tl
@@ -0,0 +1,3 @@
+"ham v time
+0.0000000000000 -0.0000000000000
+0.0500000000000 -0.0000146461957
diff --git a/test/test_cartoon_3/ham_nm1.tl b/test/test_cartoon_3/ham_nm1.tl
new file mode 100644
index 0000000..e58baa2
--- /dev/null
+++ b/test/test_cartoon_3/ham_nm1.tl
@@ -0,0 +1,3 @@
+"ham v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000053954738
diff --git a/test/test_cartoon_3/ham_nm2.tl b/test/test_cartoon_3/ham_nm2.tl
new file mode 100644
index 0000000..ee5eb5e
--- /dev/null
+++ b/test/test_cartoon_3/ham_nm2.tl
@@ -0,0 +1,3 @@
+"ham v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000068349636
diff --git a/test/test_cartoon_3/momx.dl b/test/test_cartoon_3/momx.dl
new file mode 100644
index 0000000..d16ee96
--- /dev/null
+++ b/test/test_cartoon_3/momx.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 -0.0007679114818
+0.1732050807569 0.0013270209586
+0.5196152422707 0.0011579761562
diff --git a/test/test_cartoon_3/momx.xl b/test/test_cartoon_3/momx.xl
new file mode 100644
index 0000000..3c4aace
--- /dev/null
+++ b/test/test_cartoon_3/momx.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 -0.0013270209586
+0.1000000000000 0.0013270209586
+0.3000000000000 0.0006381220077
+0.5000000000000 0.0032213290112
+0.7000000000000 0.0021643638274
+0.9000000000000 0.0013179943500
+1.1000000000000 0.0008061837743
+1.3000000000000 0.0005051259292
+1.5000000000000 0.0003253261402
+1.7000000000000 0.0002151948633
+1.9000000000000 0.0001459008068
+2.1000000000000 0.0001011621417
+2.3000000000000 0.0000715777492
+2.5000000000000 0.0000515810271
+2.7000000000000 0.0000377920931
+2.9000000000000 0.0000284533569
+3.1000000000000 -0.0002545101503
+3.3000000000000 -0.0002545101503
diff --git a/test/test_cartoon_3/momx.yl b/test/test_cartoon_3/momx.yl
new file mode 100644
index 0000000..6574f56
--- /dev/null
+++ b/test/test_cartoon_3/momx.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 0.0003911858394
+0.0000000000000 0.0013270209586
+0.2000000000000 0.0003911858394
diff --git a/test/test_cartoon_3/momx.zl b/test/test_cartoon_3/momx.zl
new file mode 100644
index 0000000..9858c0d
--- /dev/null
+++ b/test/test_cartoon_3/momx.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 0.0056501295872
+-0.1000000000000 0.0013270209586
+0.1000000000000 0.0013270209586
+0.3000000000000 0.0056501295872
+0.5000000000000 0.0028029260511
+0.7000000000000 0.0011454100155
+0.9000000000000 0.0005109104913
+1.1000000000000 0.0002475564871
+1.3000000000000 0.0001285349599
+1.5000000000000 0.0000706810652
+1.7000000000000 0.0000407856832
+1.9000000000000 0.0000245181273
+2.1000000000000 0.0000152667665
+2.3000000000000 0.0000098012849
+2.5000000000000 0.0000064634297
+2.7000000000000 0.0000043645627
+2.9000000000000 0.0000030101802
+3.1000000000000 -0.0000190504026
+3.3000000000000 -0.0000190504026
diff --git a/test/test_cartoon_3/momx_max.tl b/test/test_cartoon_3/momx_max.tl
new file mode 100644
index 0000000..8718acd
--- /dev/null
+++ b/test/test_cartoon_3/momx_max.tl
@@ -0,0 +1,3 @@
+"momx v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0056501295872
diff --git a/test/test_cartoon_3/momx_min.tl b/test/test_cartoon_3/momx_min.tl
new file mode 100644
index 0000000..17dd107
--- /dev/null
+++ b/test/test_cartoon_3/momx_min.tl
@@ -0,0 +1,3 @@
+"momx v time
+0.0000000000000 0.0000000000000
+0.0500000000000 -0.0056501295872
diff --git a/test/test_cartoon_3/momx_nm1.tl b/test/test_cartoon_3/momx_nm1.tl
new file mode 100644
index 0000000..6559362
--- /dev/null
+++ b/test/test_cartoon_3/momx_nm1.tl
@@ -0,0 +1,3 @@
+"momx v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0001955074622
diff --git a/test/test_cartoon_3/momx_nm2.tl b/test/test_cartoon_3/momx_nm2.tl
new file mode 100644
index 0000000..2443ef4
--- /dev/null
+++ b/test/test_cartoon_3/momx_nm2.tl
@@ -0,0 +1,3 @@
+"momx v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0005515411526
diff --git a/test/test_cartoon_3/momy.dl b/test/test_cartoon_3/momy.dl
new file mode 100644
index 0000000..6b8492e
--- /dev/null
+++ b/test/test_cartoon_3/momy.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 -0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 -0.0015358229635
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0007719841041
diff --git a/test/test_cartoon_3/momy.xl b/test/test_cartoon_3/momy.xl
new file mode 100644
index 0000000..4c6e915
--- /dev/null
+++ b/test/test_cartoon_3/momy.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 -0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 -0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/momy.yl b/test/test_cartoon_3/momy.yl
new file mode 100644
index 0000000..65e5070
--- /dev/null
+++ b/test/test_cartoon_3/momy.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 -0.0007823716788
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0007823716788
diff --git a/test/test_cartoon_3/momy.zl b/test/test_cartoon_3/momy.zl
new file mode 100644
index 0000000..50b6eed
--- /dev/null
+++ b/test/test_cartoon_3/momy.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/momy_max.tl b/test/test_cartoon_3/momy_max.tl
new file mode 100644
index 0000000..30c4c2d
--- /dev/null
+++ b/test/test_cartoon_3/momy_max.tl
@@ -0,0 +1,3 @@
+"momy v time
+0.0000000000000 -0.0000000000000
+0.0500000000000 0.0021114480510
diff --git a/test/test_cartoon_3/momy_min.tl b/test/test_cartoon_3/momy_min.tl
new file mode 100644
index 0000000..24ea748
--- /dev/null
+++ b/test/test_cartoon_3/momy_min.tl
@@ -0,0 +1,3 @@
+"momy v time
+0.0000000000000 -0.0000000000000
+0.0500000000000 -0.0021114480510
diff --git a/test/test_cartoon_3/momy_nm1.tl b/test/test_cartoon_3/momy_nm1.tl
new file mode 100644
index 0000000..3826fac
--- /dev/null
+++ b/test/test_cartoon_3/momy_nm1.tl
@@ -0,0 +1,3 @@
+"momy v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000672823287
diff --git a/test/test_cartoon_3/momy_nm2.tl b/test/test_cartoon_3/momy_nm2.tl
new file mode 100644
index 0000000..7fd6358
--- /dev/null
+++ b/test/test_cartoon_3/momy_nm2.tl
@@ -0,0 +1,3 @@
+"momy v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0002599110554
diff --git a/test/test_cartoon_3/momz.dl b/test/test_cartoon_3/momz.dl
new file mode 100644
index 0000000..3ed3025
--- /dev/null
+++ b/test/test_cartoon_3/momz.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 0.0009412363965
+0.1732050807569 -0.0013397004576
+0.5196152422707 0.0051710580127
diff --git a/test/test_cartoon_3/momz.xl b/test/test_cartoon_3/momz.xl
new file mode 100644
index 0000000..523fe92
--- /dev/null
+++ b/test/test_cartoon_3/momz.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 0.0013397004576
+0.1000000000000 0.0013397004576
+0.3000000000000 0.0056606931753
+0.5000000000000 0.0028097156966
+0.7000000000000 0.0011521291867
+0.9000000000000 0.0005158267714
+1.1000000000000 0.0002507586710
+1.3000000000000 0.0001305497596
+1.5000000000000 0.0000719461769
+1.7000000000000 0.0000415891757
+1.9000000000000 0.0000250371100
+2.1000000000000 0.0000156083068
+2.3000000000000 0.0000100303306
+2.5000000000000 0.0000066198624
+2.7000000000000 0.0000044732662
+2.9000000000000 0.0000031046314
+3.1000000000000 -0.0000190280872
+3.3000000000000 -0.0000190280872
diff --git a/test/test_cartoon_3/momz.yl b/test/test_cartoon_3/momz.yl
new file mode 100644
index 0000000..c636855
--- /dev/null
+++ b/test/test_cartoon_3/momz.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 0.0042318503501
+0.0000000000000 0.0013397004576
+0.2000000000000 0.0042318503501
diff --git a/test/test_cartoon_3/momz.zl b/test/test_cartoon_3/momz.zl
new file mode 100644
index 0000000..c6003b3
--- /dev/null
+++ b/test/test_cartoon_3/momz.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 -0.0006372990918
+-0.1000000000000 -0.0013397004576
+0.1000000000000 0.0013397004576
+0.3000000000000 0.0006372990918
+0.5000000000000 0.0032519618152
+0.7000000000000 0.0021893568807
+0.9000000000000 0.0013325723946
+1.1000000000000 0.0008141016155
+1.3000000000000 0.0005094204746
+1.5000000000000 0.0003277052956
+1.7000000000000 0.0002165506756
+1.9000000000000 0.0001466966341
+2.1000000000000 0.0001016428390
+2.3000000000000 0.0000718759995
+2.5000000000000 0.0000517707243
+2.7000000000000 0.0000379155263
+2.9000000000000 0.0000281911554
+3.1000000000000 -0.0002538212469
+3.3000000000000 -0.0002538212469
diff --git a/test/test_cartoon_3/momz_max.tl b/test/test_cartoon_3/momz_max.tl
new file mode 100644
index 0000000..00bc287
--- /dev/null
+++ b/test/test_cartoon_3/momz_max.tl
@@ -0,0 +1,3 @@
+"momz v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0056606931753
diff --git a/test/test_cartoon_3/momz_min.tl b/test/test_cartoon_3/momz_min.tl
new file mode 100644
index 0000000..b710103
--- /dev/null
+++ b/test/test_cartoon_3/momz_min.tl
@@ -0,0 +1,3 @@
+"momz v time
+0.0000000000000 0.0000000000000
+0.0500000000000 -0.0056606931753
diff --git a/test/test_cartoon_3/momz_nm1.tl b/test/test_cartoon_3/momz_nm1.tl
new file mode 100644
index 0000000..4e1be9c
--- /dev/null
+++ b/test/test_cartoon_3/momz_nm1.tl
@@ -0,0 +1,3 @@
+"momz v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0002293751251
diff --git a/test/test_cartoon_3/momz_nm2.tl b/test/test_cartoon_3/momz_nm2.tl
new file mode 100644
index 0000000..5ec81a6
--- /dev/null
+++ b/test/test_cartoon_3/momz_nm2.tl
@@ -0,0 +1,3 @@
+"momz v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0006828710755
diff --git a/test/test_cartoon_3/test_cartoon_1.par b/test/test_cartoon_3/test_cartoon_1.par
new file mode 100644
index 0000000..53bdefb
--- /dev/null
+++ b/test/test_cartoon_3/test_cartoon_1.par
@@ -0,0 +1,90 @@
+#==============================================================================
+# Cartoon2D, using a bitant grid
+#==============================================================================
+
+ActiveThorns = "cartoon2d idanalyticbh einstein adm_bssn admconstraints ahfinder pughinterp boundary time pugh pughreduce pughslab cartgrid3d ioascii ioutil iobasic"
+
+#------------------------------------------------------------------------------
+# Run parameters for Cartoon
+#------------------------------------------------------------------------------
+
+# Cartoon
+cartoon2d::cartoon_active = "yes"
+cartoon2d::verbose = "yes"
+cartoon2d::stencil = "yes"
+cartoon2d::order = 3
+cartoon2d::allow_grid_resize = "yes"
+
+# This is for 2 processors, you have to
+# change manually by processor numbers.
+
+#driver::processor_topology = "manual"
+#driver::processor_topology_3d_x = 1
+#driver::processor_topology_3d_y = 1
+#driver::processor_topology_3d_z = 2
+
+# Grid
+
+driver::global_nx = 16
+driver::global_ny = 3
+driver::global_nz = 32
+
+driver::ghost_size_x = 2
+driver::ghost_size_y = 1
+driver::ghost_size_z = 2
+
+grid::avoid_originy = "no"
+
+grid::type = "byspacing"
+grid::domain = "bitant"
+grid::bitant_plane = "xy"
+grid::dxyz = 0.2
+
+time::dtfac = 0.25
+
+cactus::cctk_itlast = 1
+
+# MODEL
+
+einstein::initial_data = "schwarzschild"
+
+#--- Evolution
+einstein::evolution_system = "adm_bssn"
+adm_bssn::method = "leapfrog"
+adm_bssn::bound = "flat"
+adm_bssn::cartoon = "yes"
+admconstraints::cartoon = "yes"
+
+#--- gauge
+einstein::slicing = "1+log"
+
+#--- AHFinder.
+
+ahfinder::ahf_active = "yes"
+ahfinder::ahf_cartoon = "yes"
+ahfinder::ahf_gaussout = "yes"
+
+ahfinder::ahf_phi = "no"
+ahfinder::ahf_octant = "high"
+
+ahfinder::ahf_lmax = 0
+
+# Interpolation.
+
+ahfinder::interpolation_order = 1
+
+#------------------------------------------------------------------------------
+# Output
+#------------------------------------------------------------------------------
+IO::outdir = "test_cartoon_1"
+IO::out_fileinfo = "none"
+
+IOBasic::outScalar_every = 1
+IOBasic::outScalar_vars = "admconstraints::hamiltonian admconstraints::momentum einstein::alp einstein::grr einstein::metric einstein::trace_of_K einstein::betax einstein::betay einstein::betaz adm_bssn::adm_bs_gxx adm_bssn::adm_bs_phi adm_bssn::ADM_BSSN_K adm_bssn::ADM_BSSN_gamma"
+
+IOASCII::out1D_every = 1
+IOASCII::out1D_vars = "admconstraints::hamiltonian admconstraints::momentum einstein::alp einstein::grr einstein::metric einstein::trace_of_K einstein::betax einstein::betay einstein::betaz adm_bssn::adm_bs_gxx adm_bssn::adm_bs_phi adm_bssn::ADM_BSSN_K adm_bssn::ADM_BSSN_gamma"
+
+IOBasic::outInfo_every = 1
+IOBasic::outInfo_vars = "einstein::alp adm_bssn::adm_bs_dtalp"
+#==============================================================================
diff --git a/test/test_cartoon_3/trK.dl b/test/test_cartoon_3/trK.dl
new file mode 100644
index 0000000..7f39b6b
--- /dev/null
+++ b/test/test_cartoon_3/trK.dl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.1732050807569 0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1732050807569 0.0000000000000
+0.1732050807569 0.0000000000000
+0.5196152422707 0.0000000000000
diff --git a/test/test_cartoon_3/trK.xl b/test/test_cartoon_3/trK.xl
new file mode 100644
index 0000000..811e2ed
--- /dev/null
+++ b/test/test_cartoon_3/trK.xl
@@ -0,0 +1,42 @@
+
+
+"Time = 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 -0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 -0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 -0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 -0.0000000000000
+3.3000000000000 0.0000000000000
diff --git a/test/test_cartoon_3/trK.yl b/test/test_cartoon_3/trK.yl
new file mode 100644
index 0000000..ca6801c
--- /dev/null
+++ b/test/test_cartoon_3/trK.yl
@@ -0,0 +1,12 @@
+
+
+"Time = 0.0000000000000
+-0.2000000000000 0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.2000000000000 -0.0000000000000
+0.0000000000000 0.0000000000000
+0.2000000000000 -0.0000000000000
diff --git a/test/test_cartoon_3/trK.zl b/test/test_cartoon_3/trK.zl
new file mode 100644
index 0000000..5eee9ec
--- /dev/null
+++ b/test/test_cartoon_3/trK.zl
@@ -0,0 +1,44 @@
+
+
+"Time = 0.0000000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 0.0000000000000
+3.3000000000000 0.0000000000000
+
+
+"Time = 0.0500000000000
+-0.3000000000000 0.0000000000000
+-0.1000000000000 0.0000000000000
+0.1000000000000 0.0000000000000
+0.3000000000000 0.0000000000000
+0.5000000000000 0.0000000000000
+0.7000000000000 -0.0000000000000
+0.9000000000000 0.0000000000000
+1.1000000000000 0.0000000000000
+1.3000000000000 0.0000000000000
+1.5000000000000 0.0000000000000
+1.7000000000000 0.0000000000000
+1.9000000000000 0.0000000000000
+2.1000000000000 0.0000000000000
+2.3000000000000 0.0000000000000
+2.5000000000000 0.0000000000000
+2.7000000000000 -0.0000000000000
+2.9000000000000 0.0000000000000
+3.1000000000000 -0.0000000000000
+3.3000000000000 -0.0000000000000
diff --git a/test/test_cartoon_3/trK_max.tl b/test/test_cartoon_3/trK_max.tl
new file mode 100644
index 0000000..3e46b0b
--- /dev/null
+++ b/test/test_cartoon_3/trK_max.tl
@@ -0,0 +1,3 @@
+"trK v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/trK_min.tl b/test/test_cartoon_3/trK_min.tl
new file mode 100644
index 0000000..e9f273a
--- /dev/null
+++ b/test/test_cartoon_3/trK_min.tl
@@ -0,0 +1,3 @@
+"trK v time
+0.0000000000000 0.0000000000000
+0.0500000000000 -0.0000000000000
diff --git a/test/test_cartoon_3/trK_nm1.tl b/test/test_cartoon_3/trK_nm1.tl
new file mode 100644
index 0000000..3e46b0b
--- /dev/null
+++ b/test/test_cartoon_3/trK_nm1.tl
@@ -0,0 +1,3 @@
+"trK v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000
diff --git a/test/test_cartoon_3/trK_nm2.tl b/test/test_cartoon_3/trK_nm2.tl
new file mode 100644
index 0000000..3e46b0b
--- /dev/null
+++ b/test/test_cartoon_3/trK_nm2.tl
@@ -0,0 +1,3 @@
+"trK v time
+0.0000000000000 0.0000000000000
+0.0500000000000 0.0000000000000