summaryrefslogtreecommitdiff
path: root/tmk_core
diff options
context:
space:
mode:
authorAlex Ong <alex.ong@unsw.edu.au>2018-08-29 10:45:53 +1000
committerAlex Ong <alex.ong@unsw.edu.au>2018-08-29 10:45:53 +1000
commit4db27a2c7614c0aa5a0b46d8e1f5c5cc8216fd1c (patch)
tree31e32520c7e86c82a7c105f435181ea66aed6133 /tmk_core
parent3cf7f7322c24e3cab21d402f1a859b60df857603 (diff)
Changed order of rules in TMK. Documented feature.
Diffstat (limited to 'tmk_core')
-rw-r--r--tmk_core/common.mk6
1 files changed, 3 insertions, 3 deletions
diff --git a/tmk_core/common.mk b/tmk_core/common.mk
index 72b2d3cc86..85f903fdae 100644
--- a/tmk_core/common.mk
+++ b/tmk_core/common.mk
@@ -42,9 +42,7 @@ ifeq ($(PLATFORM),TEST)
endif
# Debounce Modules. If implemented in matrix.c, don't use these.
-ifeq ($(strip $(CUSTOM_MATRIX)), yes)
- # Do nothing. Custom matrix code.
-else ifeq ($(strip $(SPLIT_KEYBOARD)), yes)
+ifeq ($(strip $(SPLIT_KEYBOARD)), yes)
# Do nothing, debouncing is inside matrix.c inside split_common
else ifeq ($(strip $(DEBOUNCE_ALGO)), manual)
# Do nothing. do your debouncing in matrix.c
@@ -52,6 +50,8 @@ else ifeq ($(strip $(DEBOUNCE_ALGO)), sym_g)
TMK_COMMON_SRC += $(DEBOUNCE)/debounce_sym_g.c
else ifeq ($(strip $(DEBOUNCE_ALGO)), eager_pk)
TMK_COMMON_SRC += $(DEBOUNCE)/debounce_eager_pk.c
+else ifeq ($(strip $(CUSTOM_MATRIX)), yes)
+ # Do nothing. Custom matrix code.
else # default algorithm
TMK_COMMON_SRC += $(DEBOUNCE)/debounce_sym_g.c
endif