From a00e9b4f9b191db076bee7f1890b204a7e17aae6 Mon Sep 17 00:00:00 2001 From: ryoji Date: Thu, 25 Oct 2001 08:41:45 +0000 Subject: this is for 2 procs though, git-svn-id: http://svn.cactuscode.org/arrangements/CactusNumerical/Cartoon2D/trunk@22 eec4d7dc-71c2-46d6-addf-10296150bf52 --- test/test_cartoon.par | 87 +++++++ test/test_cartoon/ADM_BS_Gx.xl | 19 ++ test/test_cartoon/ADM_BS_Gx.yl | 6 + test/test_cartoon/ADM_BS_Gx.zl | 35 +++ test/test_cartoon/ADM_BS_Gx_max.tl | 3 + test/test_cartoon/ADM_BS_Gx_min.tl | 3 + test/test_cartoon/ADM_BS_Gx_nm1.tl | 3 + test/test_cartoon/ADM_BS_Gx_nm2.tl | 3 + test/test_cartoon/ADM_BS_Gy.xl | 19 ++ test/test_cartoon/ADM_BS_Gy.yl | 6 + test/test_cartoon/ADM_BS_Gy.zl | 35 +++ test/test_cartoon/ADM_BS_Gy_max.tl | 3 + test/test_cartoon/ADM_BS_Gy_min.tl | 3 + test/test_cartoon/ADM_BS_Gy_nm1.tl | 3 + test/test_cartoon/ADM_BS_Gy_nm2.tl | 3 + test/test_cartoon/ADM_BS_Gz.xl | 19 ++ test/test_cartoon/ADM_BS_Gz.yl | 6 + test/test_cartoon/ADM_BS_Gz.zl | 35 +++ test/test_cartoon/ADM_BS_Gz_max.tl | 3 + test/test_cartoon/ADM_BS_Gz_min.tl | 3 + test/test_cartoon/ADM_BS_Gz_nm1.tl | 3 + test/test_cartoon/ADM_BS_Gz_nm2.tl | 3 + test/test_cartoon/ADM_BS_K.xl | 19 ++ test/test_cartoon/ADM_BS_K.yl | 6 + test/test_cartoon/ADM_BS_K.zl | 35 +++ test/test_cartoon/ADM_BS_K_max.tl | 3 + test/test_cartoon/ADM_BS_K_min.tl | 3 + test/test_cartoon/ADM_BS_K_nm1.tl | 3 + test/test_cartoon/ADM_BS_K_nm2.tl | 3 + test/test_cartoon/ADM_BS_gxx.xl | 19 ++ test/test_cartoon/ADM_BS_gxx.yl | 6 + test/test_cartoon/ADM_BS_gxx.zl | 35 +++ test/test_cartoon/ADM_BS_gxx_max.tl | 3 + test/test_cartoon/ADM_BS_gxx_min.tl | 3 + test/test_cartoon/ADM_BS_gxx_nm1.tl | 3 + test/test_cartoon/ADM_BS_gxx_nm2.tl | 3 + test/test_cartoon/ADM_BS_phi.xl | 19 ++ test/test_cartoon/ADM_BS_phi.yl | 6 + test/test_cartoon/ADM_BS_phi.zl | 35 +++ test/test_cartoon/ADM_BS_phi_max.tl | 3 + test/test_cartoon/ADM_BS_phi_min.tl | 3 + test/test_cartoon/ADM_BS_phi_nm1.tl | 3 + test/test_cartoon/ADM_BS_phi_nm2.tl | 3 + test/test_cartoon/ahf.gauss | 438 ++++++++++++++++++++++++++++++++++++ test/test_cartoon/ahf_area.tl | 3 + test/test_cartoon/ahf_asymx.tl | 3 + test/test_cartoon/ahf_asymy.tl | 3 + test/test_cartoon/ahf_asymz.tl | 3 + test/test_cartoon/ahf_circ_eq.tl | 3 + test/test_cartoon/ahf_coeff.alm | 21 ++ test/test_cartoon/ahf_mass.tl | 3 + test/test_cartoon/ahf_meri_p1.tl | 3 + test/test_cartoon/ahf_meri_p2.tl | 3 + test/test_cartoon/ahf_rad.tl | 3 + test/test_cartoon/alp.xl | 19 ++ test/test_cartoon/alp.yl | 6 + test/test_cartoon/alp.zl | 35 +++ test/test_cartoon/alp_max.tl | 3 + test/test_cartoon/alp_min.tl | 3 + test/test_cartoon/alp_nm1.tl | 3 + test/test_cartoon/alp_nm2.tl | 3 + test/test_cartoon/grr.xl | 19 ++ test/test_cartoon/grr.yl | 6 + test/test_cartoon/grr.zl | 35 +++ test/test_cartoon/grr_max.tl | 3 + test/test_cartoon/grr_min.tl | 3 + test/test_cartoon/grr_nm1.tl | 3 + test/test_cartoon/grr_nm2.tl | 3 + test/test_cartoon/gxx.xl | 19 ++ test/test_cartoon/gxx.yl | 6 + test/test_cartoon/gxx.zl | 35 +++ test/test_cartoon/gxx_max.tl | 3 + test/test_cartoon/gxx_min.tl | 3 + test/test_cartoon/gxx_nm1.tl | 3 + test/test_cartoon/gxx_nm2.tl | 3 + test/test_cartoon/gxy.xl | 19 ++ test/test_cartoon/gxy.yl | 6 + test/test_cartoon/gxy.zl | 35 +++ test/test_cartoon/gxy_max.tl | 3 + test/test_cartoon/gxy_min.tl | 3 + test/test_cartoon/gxy_nm1.tl | 3 + test/test_cartoon/gxy_nm2.tl | 3 + test/test_cartoon/gxz.xl | 19 ++ test/test_cartoon/gxz.yl | 6 + test/test_cartoon/gxz.zl | 35 +++ test/test_cartoon/gxz_max.tl | 3 + test/test_cartoon/gxz_min.tl | 3 + test/test_cartoon/gxz_nm1.tl | 3 + test/test_cartoon/gxz_nm2.tl | 3 + test/test_cartoon/gyy.xl | 19 ++ test/test_cartoon/gyy.yl | 6 + test/test_cartoon/gyy.zl | 35 +++ test/test_cartoon/gyy_max.tl | 3 + test/test_cartoon/gyy_min.tl | 3 + test/test_cartoon/gyy_nm1.tl | 3 + test/test_cartoon/gyy_nm2.tl | 3 + test/test_cartoon/gyz.xl | 19 ++ test/test_cartoon/gyz.yl | 6 + test/test_cartoon/gyz.zl | 35 +++ test/test_cartoon/gyz_max.tl | 3 + test/test_cartoon/gyz_min.tl | 3 + test/test_cartoon/gyz_nm1.tl | 3 + test/test_cartoon/gyz_nm2.tl | 3 + test/test_cartoon/gzz.xl | 19 ++ test/test_cartoon/gzz.yl | 6 + test/test_cartoon/gzz.zl | 35 +++ test/test_cartoon/gzz_max.tl | 3 + test/test_cartoon/gzz_min.tl | 3 + test/test_cartoon/gzz_nm1.tl | 3 + test/test_cartoon/gzz_nm2.tl | 3 + test/test_cartoon/ham.xl | 19 ++ test/test_cartoon/ham.yl | 6 + test/test_cartoon/ham.zl | 35 +++ test/test_cartoon/ham_max.tl | 3 + test/test_cartoon/ham_min.tl | 3 + test/test_cartoon/ham_nm1.tl | 3 + test/test_cartoon/ham_nm2.tl | 3 + test/test_cartoon/momx.xl | 19 ++ test/test_cartoon/momx.yl | 6 + test/test_cartoon/momx.zl | 35 +++ test/test_cartoon/momx_max.tl | 3 + test/test_cartoon/momx_min.tl | 3 + test/test_cartoon/momx_nm1.tl | 3 + test/test_cartoon/momx_nm2.tl | 3 + test/test_cartoon/momy.xl | 19 ++ test/test_cartoon/momy.yl | 6 + test/test_cartoon/momy.zl | 35 +++ test/test_cartoon/momy_max.tl | 3 + test/test_cartoon/momy_min.tl | 3 + test/test_cartoon/momy_nm1.tl | 3 + test/test_cartoon/momy_nm2.tl | 3 + test/test_cartoon/momz.xl | 19 ++ test/test_cartoon/momz.yl | 6 + test/test_cartoon/momz.zl | 35 +++ test/test_cartoon/momz_max.tl | 3 + test/test_cartoon/momz_min.tl | 3 + test/test_cartoon/momz_nm1.tl | 3 + test/test_cartoon/momz_nm2.tl | 3 + test/test_cartoon/trK.xl | 19 ++ test/test_cartoon/trK.yl | 6 + test/test_cartoon/trK.zl | 35 +++ test/test_cartoon/trK_max.tl | 3 + test/test_cartoon/trK_min.tl | 3 + test/test_cartoon/trK_nm1.tl | 3 + test/test_cartoon/trK_nm2.tl | 3 + 145 files changed, 1941 insertions(+) create mode 100644 test/test_cartoon.par create mode 100644 test/test_cartoon/ADM_BS_Gx.xl create mode 100644 test/test_cartoon/ADM_BS_Gx.yl create mode 100644 test/test_cartoon/ADM_BS_Gx.zl create mode 100644 test/test_cartoon/ADM_BS_Gx_max.tl create mode 100644 test/test_cartoon/ADM_BS_Gx_min.tl create mode 100644 test/test_cartoon/ADM_BS_Gx_nm1.tl create mode 100644 test/test_cartoon/ADM_BS_Gx_nm2.tl create mode 100644 test/test_cartoon/ADM_BS_Gy.xl create mode 100644 test/test_cartoon/ADM_BS_Gy.yl create mode 100644 test/test_cartoon/ADM_BS_Gy.zl create mode 100644 test/test_cartoon/ADM_BS_Gy_max.tl create mode 100644 test/test_cartoon/ADM_BS_Gy_min.tl create mode 100644 test/test_cartoon/ADM_BS_Gy_nm1.tl create mode 100644 test/test_cartoon/ADM_BS_Gy_nm2.tl create mode 100644 test/test_cartoon/ADM_BS_Gz.xl create mode 100644 test/test_cartoon/ADM_BS_Gz.yl create mode 100644 test/test_cartoon/ADM_BS_Gz.zl create mode 100644 test/test_cartoon/ADM_BS_Gz_max.tl create mode 100644 test/test_cartoon/ADM_BS_Gz_min.tl create mode 100644 test/test_cartoon/ADM_BS_Gz_nm1.tl create mode 100644 test/test_cartoon/ADM_BS_Gz_nm2.tl create mode 100644 test/test_cartoon/ADM_BS_K.xl create mode 100644 test/test_cartoon/ADM_BS_K.yl create mode 100644 test/test_cartoon/ADM_BS_K.zl create mode 100644 test/test_cartoon/ADM_BS_K_max.tl create mode 100644 test/test_cartoon/ADM_BS_K_min.tl create mode 100644 test/test_cartoon/ADM_BS_K_nm1.tl create mode 100644 test/test_cartoon/ADM_BS_K_nm2.tl create mode 100644 test/test_cartoon/ADM_BS_gxx.xl create mode 100644 test/test_cartoon/ADM_BS_gxx.yl create mode 100644 test/test_cartoon/ADM_BS_gxx.zl create mode 100644 test/test_cartoon/ADM_BS_gxx_max.tl create mode 100644 test/test_cartoon/ADM_BS_gxx_min.tl create mode 100644 test/test_cartoon/ADM_BS_gxx_nm1.tl create mode 100644 test/test_cartoon/ADM_BS_gxx_nm2.tl create mode 100644 test/test_cartoon/ADM_BS_phi.xl create mode 100644 test/test_cartoon/ADM_BS_phi.yl create mode 100644 test/test_cartoon/ADM_BS_phi.zl create mode 100644 test/test_cartoon/ADM_BS_phi_max.tl create mode 100644 test/test_cartoon/ADM_BS_phi_min.tl create mode 100644 test/test_cartoon/ADM_BS_phi_nm1.tl create mode 100644 test/test_cartoon/ADM_BS_phi_nm2.tl create mode 100644 test/test_cartoon/ahf.gauss create mode 100644 test/test_cartoon/ahf_area.tl create mode 100644 test/test_cartoon/ahf_asymx.tl create mode 100644 test/test_cartoon/ahf_asymy.tl create mode 100644 test/test_cartoon/ahf_asymz.tl create mode 100644 test/test_cartoon/ahf_circ_eq.tl create mode 100644 test/test_cartoon/ahf_coeff.alm create mode 100644 test/test_cartoon/ahf_mass.tl create mode 100644 test/test_cartoon/ahf_meri_p1.tl create mode 100644 test/test_cartoon/ahf_meri_p2.tl create mode 100644 test/test_cartoon/ahf_rad.tl create mode 100644 test/test_cartoon/alp.xl create mode 100644 test/test_cartoon/alp.yl create mode 100644 test/test_cartoon/alp.zl create mode 100644 test/test_cartoon/alp_max.tl create mode 100644 test/test_cartoon/alp_min.tl create mode 100644 test/test_cartoon/alp_nm1.tl create mode 100644 test/test_cartoon/alp_nm2.tl create mode 100644 test/test_cartoon/grr.xl create mode 100644 test/test_cartoon/grr.yl create mode 100644 test/test_cartoon/grr.zl create mode 100644 test/test_cartoon/grr_max.tl create mode 100644 test/test_cartoon/grr_min.tl create mode 100644 test/test_cartoon/grr_nm1.tl create mode 100644 test/test_cartoon/grr_nm2.tl create mode 100644 test/test_cartoon/gxx.xl create mode 100644 test/test_cartoon/gxx.yl create mode 100644 test/test_cartoon/gxx.zl create mode 100644 test/test_cartoon/gxx_max.tl create mode 100644 test/test_cartoon/gxx_min.tl create mode 100644 test/test_cartoon/gxx_nm1.tl create mode 100644 test/test_cartoon/gxx_nm2.tl create mode 100644 test/test_cartoon/gxy.xl create mode 100644 test/test_cartoon/gxy.yl create mode 100644 test/test_cartoon/gxy.zl create mode 100644 test/test_cartoon/gxy_max.tl create mode 100644 test/test_cartoon/gxy_min.tl create mode 100644 test/test_cartoon/gxy_nm1.tl create mode 100644 test/test_cartoon/gxy_nm2.tl create mode 100644 test/test_cartoon/gxz.xl create mode 100644 test/test_cartoon/gxz.yl create mode 100644 test/test_cartoon/gxz.zl create mode 100644 test/test_cartoon/gxz_max.tl create mode 100644 test/test_cartoon/gxz_min.tl create mode 100644 test/test_cartoon/gxz_nm1.tl create mode 100644 test/test_cartoon/gxz_nm2.tl create mode 100644 test/test_cartoon/gyy.xl create mode 100644 test/test_cartoon/gyy.yl create mode 100644 test/test_cartoon/gyy.zl create mode 100644 test/test_cartoon/gyy_max.tl create mode 100644 test/test_cartoon/gyy_min.tl create mode 100644 test/test_cartoon/gyy_nm1.tl create mode 100644 test/test_cartoon/gyy_nm2.tl create mode 100644 test/test_cartoon/gyz.xl create mode 100644 test/test_cartoon/gyz.yl create mode 100644 test/test_cartoon/gyz.zl create mode 100644 test/test_cartoon/gyz_max.tl create mode 100644 test/test_cartoon/gyz_min.tl create mode 100644 test/test_cartoon/gyz_nm1.tl create mode 100644 test/test_cartoon/gyz_nm2.tl create mode 100644 test/test_cartoon/gzz.xl create mode 100644 test/test_cartoon/gzz.yl create mode 100644 test/test_cartoon/gzz.zl create mode 100644 test/test_cartoon/gzz_max.tl create mode 100644 test/test_cartoon/gzz_min.tl create mode 100644 test/test_cartoon/gzz_nm1.tl create mode 100644 test/test_cartoon/gzz_nm2.tl create mode 100644 test/test_cartoon/ham.xl create mode 100644 test/test_cartoon/ham.yl create mode 100644 test/test_cartoon/ham.zl create mode 100644 test/test_cartoon/ham_max.tl create mode 100644 test/test_cartoon/ham_min.tl create mode 100644 test/test_cartoon/ham_nm1.tl create mode 100644 test/test_cartoon/ham_nm2.tl create mode 100644 test/test_cartoon/momx.xl create mode 100644 test/test_cartoon/momx.yl create mode 100644 test/test_cartoon/momx.zl create mode 100644 test/test_cartoon/momx_max.tl create mode 100644 test/test_cartoon/momx_min.tl create mode 100644 test/test_cartoon/momx_nm1.tl create mode 100644 test/test_cartoon/momx_nm2.tl create mode 100644 test/test_cartoon/momy.xl create mode 100644 test/test_cartoon/momy.yl create mode 100644 test/test_cartoon/momy.zl create mode 100644 test/test_cartoon/momy_max.tl create mode 100644 test/test_cartoon/momy_min.tl create mode 100644 test/test_cartoon/momy_nm1.tl create mode 100644 test/test_cartoon/momy_nm2.tl create mode 100644 test/test_cartoon/momz.xl create mode 100644 test/test_cartoon/momz.yl create mode 100644 test/test_cartoon/momz.zl create mode 100644 test/test_cartoon/momz_max.tl create mode 100644 test/test_cartoon/momz_min.tl create mode 100644 test/test_cartoon/momz_nm1.tl create mode 100644 test/test_cartoon/momz_nm2.tl create mode 100644 test/test_cartoon/trK.xl create mode 100644 test/test_cartoon/trK.yl create mode 100644 test/test_cartoon/trK.zl create mode 100644 test/test_cartoon/trK_max.tl create mode 100644 test/test_cartoon/trK_min.tl create mode 100644 test/test_cartoon/trK_nm1.tl create mode 100644 test/test_cartoon/trK_nm2.tl diff --git a/test/test_cartoon.par b/test/test_cartoon.par new file mode 100644 index 0000000..80fb0cf --- /dev/null +++ b/test/test_cartoon.par @@ -0,0 +1,87 @@ +#============================================================================== + +ActiveThorns = "cartoon2d idanalyticbh einstein adm_bssn admconstraints ahfinder pughinterp boundary time pugh pughreduce pughslab cartgrid3d ioascii ioutil iobasic" + +#------------------------------------------------------------------------------ + +# Run parameters for Cartoon +#------------------------------------------------------------------------------ + +# Cartoon +cartoon2d::verbose = "yes" +cartoon2d::stencil = "yes" +cartoon2d::order = 3 + +# This is for 2 processors, you have to +# change manually by processor numbers. + +driver::processor_topology = "manual" +driver::processor_topology_3d_x = 1 +driver::processor_topology_3d_y = 1 +driver::processor_topology_3d_z = 2 + +# Grid + +driver::global_nx = 16 +driver::global_ny = 3 +driver::global_nz = 32 + +driver::ghost_size_x = 2 +driver::ghost_size_y = 1 +driver::ghost_size_z = 2 + +grid::avoid_originy = "no" + +grid::type = "byspacing" +grid::domain = "bitant" +grid::bitant_plane = "yz" +grid::dxyz = 0.2 + +time::dtfac = 0.25 + +cactus::cctk_itlast = 1 + +# MODEL + +einstein::initial_data = "schwarzschild" + +#--- Evolution +einstein::evolution_system = "adm_bssn" +adm_bssn::method = "leapfrog" +adm_bssn::bound = "flat" +adm_bssn::cartoon = "yes" +admconstraints::cartoon = "yes" + +#--- gauge +einstein::slicing = "1+log" + +#--- AHFinder. + +ahfinder::ahf_active = "yes" +ahfinder::ahf_cartoon = "yes" +ahfinder::ahf_gaussout = "yes" + +ahfinder::ahf_phi = "no" +ahfinder::ahf_octant = "high" + +ahfinder::ahf_lmax = 0 + +# Interpolation. + +ahfinder::interpolation_order = 1 + +#------------------------------------------------------------------------------ +# Output +#------------------------------------------------------------------------------ +IO::outdir = "test_cartoon" +IO::out_fileinfo = "none" + +IOBasic::outScalar_every = 1 +IOBasic::outScalar_vars = "admconstraints::hamiltonian admconstraints::momentum einstein::alp einstein::grr einstein::metric einstein::trace_of_K einstein::betax einstein::betay einstein::betaz adm_bssn::adm_bs_gxx adm_bssn::adm_bs_phi adm_bssn::ADM_BSSN_K adm_bssn::ADM_BSSN_gamma" + +IOASCII::out1D_every = 5 +IOASCII::out1D_vars = "admconstraints::hamiltonian admconstraints::momentum einstein::alp einstein::grr einstein::metric einstein::trace_of_K einstein::betax einstein::betay einstein::betaz adm_bssn::adm_bs_gxx adm_bssn::adm_bs_phi adm_bssn::ADM_BSSN_K adm_bssn::ADM_BSSN_gamma" + +IOBasic::outInfo_every = 1 +IOBasic::outInfo_vars = "einstein::alp adm_bssn::adm_bs_dtalp" +#============================================================================== diff --git a/test/test_cartoon/ADM_BS_Gx.xl b/test/test_cartoon/ADM_BS_Gx.xl new file mode 100644 index 0000000..9017626 --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gx.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gx.yl b/test/test_cartoon/ADM_BS_Gx.yl new file mode 100644 index 0000000..a68962e --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gx.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 0.0000000000000 +0.0000000000000 0.0000000000000 +0.2000000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gx.zl b/test/test_cartoon/ADM_BS_Gx.zl new file mode 100644 index 0000000..7173a3f --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gx.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 0.0000000000000 +-2.9000000000000 0.0000000000000 +-2.7000000000000 0.0000000000000 +-2.5000000000000 0.0000000000000 +-2.3000000000000 0.0000000000000 +-2.1000000000000 0.0000000000000 +-1.9000000000000 0.0000000000000 +-1.7000000000000 0.0000000000000 +-1.5000000000000 0.0000000000000 +-1.3000000000000 0.0000000000000 +-1.1000000000000 0.0000000000000 +-0.9000000000000 0.0000000000000 +-0.7000000000000 0.0000000000000 +-0.5000000000000 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 +2.9000000000000 0.0000000000000 +3.1000000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gx_max.tl b/test/test_cartoon/ADM_BS_Gx_max.tl new file mode 100644 index 0000000..37a6d90 --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gx_max.tl @@ -0,0 +1,3 @@ +"ADM_BS_Gx v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gx_min.tl b/test/test_cartoon/ADM_BS_Gx_min.tl new file mode 100644 index 0000000..37a6d90 --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gx_min.tl @@ -0,0 +1,3 @@ +"ADM_BS_Gx v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gx_nm1.tl b/test/test_cartoon/ADM_BS_Gx_nm1.tl new file mode 100644 index 0000000..37a6d90 --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gx_nm1.tl @@ -0,0 +1,3 @@ +"ADM_BS_Gx v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gx_nm2.tl b/test/test_cartoon/ADM_BS_Gx_nm2.tl new file mode 100644 index 0000000..37a6d90 --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gx_nm2.tl @@ -0,0 +1,3 @@ +"ADM_BS_Gx v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gy.xl b/test/test_cartoon/ADM_BS_Gy.xl new file mode 100644 index 0000000..9017626 --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gy.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gy.yl b/test/test_cartoon/ADM_BS_Gy.yl new file mode 100644 index 0000000..a68962e --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gy.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 0.0000000000000 +0.0000000000000 0.0000000000000 +0.2000000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gy.zl b/test/test_cartoon/ADM_BS_Gy.zl new file mode 100644 index 0000000..7173a3f --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gy.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 0.0000000000000 +-2.9000000000000 0.0000000000000 +-2.7000000000000 0.0000000000000 +-2.5000000000000 0.0000000000000 +-2.3000000000000 0.0000000000000 +-2.1000000000000 0.0000000000000 +-1.9000000000000 0.0000000000000 +-1.7000000000000 0.0000000000000 +-1.5000000000000 0.0000000000000 +-1.3000000000000 0.0000000000000 +-1.1000000000000 0.0000000000000 +-0.9000000000000 0.0000000000000 +-0.7000000000000 0.0000000000000 +-0.5000000000000 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 +2.9000000000000 0.0000000000000 +3.1000000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gy_max.tl b/test/test_cartoon/ADM_BS_Gy_max.tl new file mode 100644 index 0000000..1a2925b --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gy_max.tl @@ -0,0 +1,3 @@ +"ADM_BS_Gy v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gy_min.tl b/test/test_cartoon/ADM_BS_Gy_min.tl new file mode 100644 index 0000000..1a2925b --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gy_min.tl @@ -0,0 +1,3 @@ +"ADM_BS_Gy v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gy_nm1.tl b/test/test_cartoon/ADM_BS_Gy_nm1.tl new file mode 100644 index 0000000..1a2925b --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gy_nm1.tl @@ -0,0 +1,3 @@ +"ADM_BS_Gy v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gy_nm2.tl b/test/test_cartoon/ADM_BS_Gy_nm2.tl new file mode 100644 index 0000000..1a2925b --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gy_nm2.tl @@ -0,0 +1,3 @@ +"ADM_BS_Gy v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gz.xl b/test/test_cartoon/ADM_BS_Gz.xl new file mode 100644 index 0000000..9017626 --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gz.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gz.yl b/test/test_cartoon/ADM_BS_Gz.yl new file mode 100644 index 0000000..a68962e --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gz.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 0.0000000000000 +0.0000000000000 0.0000000000000 +0.2000000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gz.zl b/test/test_cartoon/ADM_BS_Gz.zl new file mode 100644 index 0000000..7173a3f --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gz.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 0.0000000000000 +-2.9000000000000 0.0000000000000 +-2.7000000000000 0.0000000000000 +-2.5000000000000 0.0000000000000 +-2.3000000000000 0.0000000000000 +-2.1000000000000 0.0000000000000 +-1.9000000000000 0.0000000000000 +-1.7000000000000 0.0000000000000 +-1.5000000000000 0.0000000000000 +-1.3000000000000 0.0000000000000 +-1.1000000000000 0.0000000000000 +-0.9000000000000 0.0000000000000 +-0.7000000000000 0.0000000000000 +-0.5000000000000 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 +2.9000000000000 0.0000000000000 +3.1000000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gz_max.tl b/test/test_cartoon/ADM_BS_Gz_max.tl new file mode 100644 index 0000000..eb73186 --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gz_max.tl @@ -0,0 +1,3 @@ +"ADM_BS_Gz v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gz_min.tl b/test/test_cartoon/ADM_BS_Gz_min.tl new file mode 100644 index 0000000..eb73186 --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gz_min.tl @@ -0,0 +1,3 @@ +"ADM_BS_Gz v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gz_nm1.tl b/test/test_cartoon/ADM_BS_Gz_nm1.tl new file mode 100644 index 0000000..eb73186 --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gz_nm1.tl @@ -0,0 +1,3 @@ +"ADM_BS_Gz v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_Gz_nm2.tl b/test/test_cartoon/ADM_BS_Gz_nm2.tl new file mode 100644 index 0000000..eb73186 --- /dev/null +++ b/test/test_cartoon/ADM_BS_Gz_nm2.tl @@ -0,0 +1,3 @@ +"ADM_BS_Gz v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_K.xl b/test/test_cartoon/ADM_BS_K.xl new file mode 100644 index 0000000..9017626 --- /dev/null +++ b/test/test_cartoon/ADM_BS_K.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_K.yl b/test/test_cartoon/ADM_BS_K.yl new file mode 100644 index 0000000..a68962e --- /dev/null +++ b/test/test_cartoon/ADM_BS_K.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 0.0000000000000 +0.0000000000000 0.0000000000000 +0.2000000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_K.zl b/test/test_cartoon/ADM_BS_K.zl new file mode 100644 index 0000000..7173a3f --- /dev/null +++ b/test/test_cartoon/ADM_BS_K.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 0.0000000000000 +-2.9000000000000 0.0000000000000 +-2.7000000000000 0.0000000000000 +-2.5000000000000 0.0000000000000 +-2.3000000000000 0.0000000000000 +-2.1000000000000 0.0000000000000 +-1.9000000000000 0.0000000000000 +-1.7000000000000 0.0000000000000 +-1.5000000000000 0.0000000000000 +-1.3000000000000 0.0000000000000 +-1.1000000000000 0.0000000000000 +-0.9000000000000 0.0000000000000 +-0.7000000000000 0.0000000000000 +-0.5000000000000 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 +2.9000000000000 0.0000000000000 +3.1000000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_K_max.tl b/test/test_cartoon/ADM_BS_K_max.tl new file mode 100644 index 0000000..47bc86b --- /dev/null +++ b/test/test_cartoon/ADM_BS_K_max.tl @@ -0,0 +1,3 @@ +"ADM_BS_K v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_K_min.tl b/test/test_cartoon/ADM_BS_K_min.tl new file mode 100644 index 0000000..47bc86b --- /dev/null +++ b/test/test_cartoon/ADM_BS_K_min.tl @@ -0,0 +1,3 @@ +"ADM_BS_K v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_K_nm1.tl b/test/test_cartoon/ADM_BS_K_nm1.tl new file mode 100644 index 0000000..47bc86b --- /dev/null +++ b/test/test_cartoon/ADM_BS_K_nm1.tl @@ -0,0 +1,3 @@ +"ADM_BS_K v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_K_nm2.tl b/test/test_cartoon/ADM_BS_K_nm2.tl new file mode 100644 index 0000000..47bc86b --- /dev/null +++ b/test/test_cartoon/ADM_BS_K_nm2.tl @@ -0,0 +1,3 @@ +"ADM_BS_K v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_gxx.xl b/test/test_cartoon/ADM_BS_gxx.xl new file mode 100644 index 0000000..95949b2 --- /dev/null +++ b/test/test_cartoon/ADM_BS_gxx.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 1.0000000000000 +-0.1000000000000 1.0000000000000 +0.1000000000000 1.0000000000000 +0.3000000000000 1.0000000000000 +0.5000000000000 1.0000000000000 +0.7000000000000 1.0000000000000 +0.9000000000000 1.0000000000000 +1.1000000000000 1.0000000000000 +1.3000000000000 1.0000000000000 +1.5000000000000 1.0000000000000 +1.7000000000000 1.0000000000000 +1.9000000000000 1.0000000000000 +2.1000000000000 1.0000000000000 +2.3000000000000 1.0000000000000 +2.5000000000000 1.0000000000000 +2.7000000000000 1.0000000000000 diff --git a/test/test_cartoon/ADM_BS_gxx.yl b/test/test_cartoon/ADM_BS_gxx.yl new file mode 100644 index 0000000..03bbfaa --- /dev/null +++ b/test/test_cartoon/ADM_BS_gxx.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 1.0000000000000 +0.0000000000000 1.0000000000000 +0.2000000000000 1.0000000000000 diff --git a/test/test_cartoon/ADM_BS_gxx.zl b/test/test_cartoon/ADM_BS_gxx.zl new file mode 100644 index 0000000..0eabaae --- /dev/null +++ b/test/test_cartoon/ADM_BS_gxx.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 1.0000000000000 +-2.9000000000000 1.0000000000000 +-2.7000000000000 1.0000000000000 +-2.5000000000000 1.0000000000000 +-2.3000000000000 1.0000000000000 +-2.1000000000000 1.0000000000000 +-1.9000000000000 1.0000000000000 +-1.7000000000000 1.0000000000000 +-1.5000000000000 1.0000000000000 +-1.3000000000000 1.0000000000000 +-1.1000000000000 1.0000000000000 +-0.9000000000000 1.0000000000000 +-0.7000000000000 1.0000000000000 +-0.5000000000000 1.0000000000000 +-0.3000000000000 1.0000000000000 +-0.1000000000000 1.0000000000000 +0.1000000000000 1.0000000000000 +0.3000000000000 1.0000000000000 +0.5000000000000 1.0000000000000 +0.7000000000000 1.0000000000000 +0.9000000000000 1.0000000000000 +1.1000000000000 1.0000000000000 +1.3000000000000 1.0000000000000 +1.5000000000000 1.0000000000000 +1.7000000000000 1.0000000000000 +1.9000000000000 1.0000000000000 +2.1000000000000 1.0000000000000 +2.3000000000000 1.0000000000000 +2.5000000000000 1.0000000000000 +2.7000000000000 1.0000000000000 +2.9000000000000 1.0000000000000 +3.1000000000000 1.0000000000000 diff --git a/test/test_cartoon/ADM_BS_gxx_max.tl b/test/test_cartoon/ADM_BS_gxx_max.tl new file mode 100644 index 0000000..e1b95de --- /dev/null +++ b/test/test_cartoon/ADM_BS_gxx_max.tl @@ -0,0 +1,3 @@ +"ADM_BS_gxx v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/ADM_BS_gxx_min.tl b/test/test_cartoon/ADM_BS_gxx_min.tl new file mode 100644 index 0000000..e1b95de --- /dev/null +++ b/test/test_cartoon/ADM_BS_gxx_min.tl @@ -0,0 +1,3 @@ +"ADM_BS_gxx v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/ADM_BS_gxx_nm1.tl b/test/test_cartoon/ADM_BS_gxx_nm1.tl new file mode 100644 index 0000000..e1b95de --- /dev/null +++ b/test/test_cartoon/ADM_BS_gxx_nm1.tl @@ -0,0 +1,3 @@ +"ADM_BS_gxx v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/ADM_BS_gxx_nm2.tl b/test/test_cartoon/ADM_BS_gxx_nm2.tl new file mode 100644 index 0000000..e1b95de --- /dev/null +++ b/test/test_cartoon/ADM_BS_gxx_nm2.tl @@ -0,0 +1,3 @@ +"ADM_BS_gxx v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/ADM_BS_phi.xl b/test/test_cartoon/ADM_BS_phi.xl new file mode 100644 index 0000000..9017626 --- /dev/null +++ b/test/test_cartoon/ADM_BS_phi.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_phi.yl b/test/test_cartoon/ADM_BS_phi.yl new file mode 100644 index 0000000..a68962e --- /dev/null +++ b/test/test_cartoon/ADM_BS_phi.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 0.0000000000000 +0.0000000000000 0.0000000000000 +0.2000000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_phi.zl b/test/test_cartoon/ADM_BS_phi.zl new file mode 100644 index 0000000..7173a3f --- /dev/null +++ b/test/test_cartoon/ADM_BS_phi.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 0.0000000000000 +-2.9000000000000 0.0000000000000 +-2.7000000000000 0.0000000000000 +-2.5000000000000 0.0000000000000 +-2.3000000000000 0.0000000000000 +-2.1000000000000 0.0000000000000 +-1.9000000000000 0.0000000000000 +-1.7000000000000 0.0000000000000 +-1.5000000000000 0.0000000000000 +-1.3000000000000 0.0000000000000 +-1.1000000000000 0.0000000000000 +-0.9000000000000 0.0000000000000 +-0.7000000000000 0.0000000000000 +-0.5000000000000 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 +2.9000000000000 0.0000000000000 +3.1000000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_phi_max.tl b/test/test_cartoon/ADM_BS_phi_max.tl new file mode 100644 index 0000000..4249897 --- /dev/null +++ b/test/test_cartoon/ADM_BS_phi_max.tl @@ -0,0 +1,3 @@ +"ADM_BS_phi v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_phi_min.tl b/test/test_cartoon/ADM_BS_phi_min.tl new file mode 100644 index 0000000..4249897 --- /dev/null +++ b/test/test_cartoon/ADM_BS_phi_min.tl @@ -0,0 +1,3 @@ +"ADM_BS_phi v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_phi_nm1.tl b/test/test_cartoon/ADM_BS_phi_nm1.tl new file mode 100644 index 0000000..4249897 --- /dev/null +++ b/test/test_cartoon/ADM_BS_phi_nm1.tl @@ -0,0 +1,3 @@ +"ADM_BS_phi v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ADM_BS_phi_nm2.tl b/test/test_cartoon/ADM_BS_phi_nm2.tl new file mode 100644 index 0000000..4249897 --- /dev/null +++ b/test/test_cartoon/ADM_BS_phi_nm2.tl @@ -0,0 +1,3 @@ +"ADM_BS_phi v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/ahf.gauss b/test/test_cartoon/ahf.gauss new file mode 100644 index 0000000..d31b25c --- /dev/null +++ b/test/test_cartoon/ahf.gauss @@ -0,0 +1,438 @@ +# GAUSSIAN CURVATURE +# +# The data is written in a loop as: +# + # do i=1,ntheta + # do j=1,nphi +# write gaussian(i,j) +# end do +# end do +# +# theta and phi are subdivided uniformly +# according to symmetries: +# +# phi=[0,2 pi] (refx=refy=.false.) +# phi=[0,pi] (refx=.false., refy=.true.) +# phi=[0,pi/2] (refx=refy=.true.) +# +# theta=[0,pi] (refz=.false.) +# theta=[0,pi/2] (refz=.true.) +# +# refx = T +# refy = T +# refz = T +# +# ntheta = 101 +# nphi = 2 + +# Time step 0 +# Time 0.000E+00 +# Call 1 + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + +# Time step 1 +# Time 5.000E-02 +# Call 2 + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN + NaN diff --git a/test/test_cartoon/ahf_area.tl b/test/test_cartoon/ahf_area.tl new file mode 100644 index 0000000..87221c5 --- /dev/null +++ b/test/test_cartoon/ahf_area.tl @@ -0,0 +1,3 @@ +" Horizon area + 0.000000E+00 2.042046E+02 + 5.000000E-02 2.043018E+02 diff --git a/test/test_cartoon/ahf_asymx.tl b/test/test_cartoon/ahf_asymx.tl new file mode 100644 index 0000000..7299cb9 --- /dev/null +++ b/test/test_cartoon/ahf_asymx.tl @@ -0,0 +1,3 @@ +" Asymmetries on x reflection + 0.000000E+00 0.000000E+00 + 5.000000E-02 0.000000E+00 diff --git a/test/test_cartoon/ahf_asymy.tl b/test/test_cartoon/ahf_asymy.tl new file mode 100644 index 0000000..1ed4588 --- /dev/null +++ b/test/test_cartoon/ahf_asymy.tl @@ -0,0 +1,3 @@ +" Asymmetries on y reflection + 0.000000E+00 0.000000E+00 + 5.000000E-02 0.000000E+00 diff --git a/test/test_cartoon/ahf_asymz.tl b/test/test_cartoon/ahf_asymz.tl new file mode 100644 index 0000000..a88d7f8 --- /dev/null +++ b/test/test_cartoon/ahf_asymz.tl @@ -0,0 +1,3 @@ +" Asymmetries on z reflection + 0.000000E+00 0.000000E+00 + 5.000000E-02 0.000000E+00 diff --git a/test/test_cartoon/ahf_circ_eq.tl b/test/test_cartoon/ahf_circ_eq.tl new file mode 100644 index 0000000..846eef3 --- /dev/null +++ b/test/test_cartoon/ahf_circ_eq.tl @@ -0,0 +1,3 @@ +" Equatorial circumference + 0.000000E+00 0.000000E+00 + 5.000000E-02 0.000000E+00 diff --git a/test/test_cartoon/ahf_coeff.alm b/test/test_cartoon/ahf_coeff.alm new file mode 100644 index 0000000..d7f17da --- /dev/null +++ b/test/test_cartoon/ahf_coeff.alm @@ -0,0 +1,21 @@ +# Radial coefficients +# +# Time step 0 +# Time 0.000000E+00 +# Call 1 +# Surface found: Outer horizon? +# centered on: 0.000000E+00 0.000000E+00 0.000000E+00 +# +# a_lm l m +# + 1.107585E+00 0 0 + +# Time step 1 +# Time 5.000000E-02 +# Call 2 +# Surface found: Outer horizon? +# centered on: 0.000000E+00 0.000000E+00 0.000000E+00 +# +# a_lm l m +# + 1.118800E+00 0 0 diff --git a/test/test_cartoon/ahf_mass.tl b/test/test_cartoon/ahf_mass.tl new file mode 100644 index 0000000..d6be400 --- /dev/null +++ b/test/test_cartoon/ahf_mass.tl @@ -0,0 +1,3 @@ +" Horizon mass + 0.000000E+00 2.015570E+00 + 5.000000E-02 2.016049E+00 diff --git a/test/test_cartoon/ahf_meri_p1.tl b/test/test_cartoon/ahf_meri_p1.tl new file mode 100644 index 0000000..dff90e6 --- /dev/null +++ b/test/test_cartoon/ahf_meri_p1.tl @@ -0,0 +1,3 @@ +" Length of meridian, phi=0 + 0.000000E+00 2.532199E+01 + 5.000000E-02 2.532882E+01 diff --git a/test/test_cartoon/ahf_meri_p2.tl b/test/test_cartoon/ahf_meri_p2.tl new file mode 100644 index 0000000..2ec482d --- /dev/null +++ b/test/test_cartoon/ahf_meri_p2.tl @@ -0,0 +1,3 @@ +" Length of meridian, phi=pi/2 + 0.000000E+00 2.532199E+01 + 5.000000E-02 2.532882E+01 diff --git a/test/test_cartoon/ahf_rad.tl b/test/test_cartoon/ahf_rad.tl new file mode 100644 index 0000000..1492806 --- /dev/null +++ b/test/test_cartoon/ahf_rad.tl @@ -0,0 +1,3 @@ +" Horizon radius + 0.000000E+00 1.107585E+00 + 5.000000E-02 1.118800E+00 diff --git a/test/test_cartoon/alp.xl b/test/test_cartoon/alp.xl new file mode 100644 index 0000000..95949b2 --- /dev/null +++ b/test/test_cartoon/alp.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 1.0000000000000 +-0.1000000000000 1.0000000000000 +0.1000000000000 1.0000000000000 +0.3000000000000 1.0000000000000 +0.5000000000000 1.0000000000000 +0.7000000000000 1.0000000000000 +0.9000000000000 1.0000000000000 +1.1000000000000 1.0000000000000 +1.3000000000000 1.0000000000000 +1.5000000000000 1.0000000000000 +1.7000000000000 1.0000000000000 +1.9000000000000 1.0000000000000 +2.1000000000000 1.0000000000000 +2.3000000000000 1.0000000000000 +2.5000000000000 1.0000000000000 +2.7000000000000 1.0000000000000 diff --git a/test/test_cartoon/alp.yl b/test/test_cartoon/alp.yl new file mode 100644 index 0000000..03bbfaa --- /dev/null +++ b/test/test_cartoon/alp.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 1.0000000000000 +0.0000000000000 1.0000000000000 +0.2000000000000 1.0000000000000 diff --git a/test/test_cartoon/alp.zl b/test/test_cartoon/alp.zl new file mode 100644 index 0000000..0eabaae --- /dev/null +++ b/test/test_cartoon/alp.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 1.0000000000000 +-2.9000000000000 1.0000000000000 +-2.7000000000000 1.0000000000000 +-2.5000000000000 1.0000000000000 +-2.3000000000000 1.0000000000000 +-2.1000000000000 1.0000000000000 +-1.9000000000000 1.0000000000000 +-1.7000000000000 1.0000000000000 +-1.5000000000000 1.0000000000000 +-1.3000000000000 1.0000000000000 +-1.1000000000000 1.0000000000000 +-0.9000000000000 1.0000000000000 +-0.7000000000000 1.0000000000000 +-0.5000000000000 1.0000000000000 +-0.3000000000000 1.0000000000000 +-0.1000000000000 1.0000000000000 +0.1000000000000 1.0000000000000 +0.3000000000000 1.0000000000000 +0.5000000000000 1.0000000000000 +0.7000000000000 1.0000000000000 +0.9000000000000 1.0000000000000 +1.1000000000000 1.0000000000000 +1.3000000000000 1.0000000000000 +1.5000000000000 1.0000000000000 +1.7000000000000 1.0000000000000 +1.9000000000000 1.0000000000000 +2.1000000000000 1.0000000000000 +2.3000000000000 1.0000000000000 +2.5000000000000 1.0000000000000 +2.7000000000000 1.0000000000000 +2.9000000000000 1.0000000000000 +3.1000000000000 1.0000000000000 diff --git a/test/test_cartoon/alp_max.tl b/test/test_cartoon/alp_max.tl new file mode 100644 index 0000000..b02ef45 --- /dev/null +++ b/test/test_cartoon/alp_max.tl @@ -0,0 +1,3 @@ +"alp v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/alp_min.tl b/test/test_cartoon/alp_min.tl new file mode 100644 index 0000000..b02ef45 --- /dev/null +++ b/test/test_cartoon/alp_min.tl @@ -0,0 +1,3 @@ +"alp v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/alp_nm1.tl b/test/test_cartoon/alp_nm1.tl new file mode 100644 index 0000000..b02ef45 --- /dev/null +++ b/test/test_cartoon/alp_nm1.tl @@ -0,0 +1,3 @@ +"alp v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/alp_nm2.tl b/test/test_cartoon/alp_nm2.tl new file mode 100644 index 0000000..b02ef45 --- /dev/null +++ b/test/test_cartoon/alp_nm2.tl @@ -0,0 +1,3 @@ +"alp v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/grr.xl b/test/test_cartoon/grr.xl new file mode 100644 index 0000000..95949b2 --- /dev/null +++ b/test/test_cartoon/grr.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 1.0000000000000 +-0.1000000000000 1.0000000000000 +0.1000000000000 1.0000000000000 +0.3000000000000 1.0000000000000 +0.5000000000000 1.0000000000000 +0.7000000000000 1.0000000000000 +0.9000000000000 1.0000000000000 +1.1000000000000 1.0000000000000 +1.3000000000000 1.0000000000000 +1.5000000000000 1.0000000000000 +1.7000000000000 1.0000000000000 +1.9000000000000 1.0000000000000 +2.1000000000000 1.0000000000000 +2.3000000000000 1.0000000000000 +2.5000000000000 1.0000000000000 +2.7000000000000 1.0000000000000 diff --git a/test/test_cartoon/grr.yl b/test/test_cartoon/grr.yl new file mode 100644 index 0000000..03bbfaa --- /dev/null +++ b/test/test_cartoon/grr.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 1.0000000000000 +0.0000000000000 1.0000000000000 +0.2000000000000 1.0000000000000 diff --git a/test/test_cartoon/grr.zl b/test/test_cartoon/grr.zl new file mode 100644 index 0000000..0eabaae --- /dev/null +++ b/test/test_cartoon/grr.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 1.0000000000000 +-2.9000000000000 1.0000000000000 +-2.7000000000000 1.0000000000000 +-2.5000000000000 1.0000000000000 +-2.3000000000000 1.0000000000000 +-2.1000000000000 1.0000000000000 +-1.9000000000000 1.0000000000000 +-1.7000000000000 1.0000000000000 +-1.5000000000000 1.0000000000000 +-1.3000000000000 1.0000000000000 +-1.1000000000000 1.0000000000000 +-0.9000000000000 1.0000000000000 +-0.7000000000000 1.0000000000000 +-0.5000000000000 1.0000000000000 +-0.3000000000000 1.0000000000000 +-0.1000000000000 1.0000000000000 +0.1000000000000 1.0000000000000 +0.3000000000000 1.0000000000000 +0.5000000000000 1.0000000000000 +0.7000000000000 1.0000000000000 +0.9000000000000 1.0000000000000 +1.1000000000000 1.0000000000000 +1.3000000000000 1.0000000000000 +1.5000000000000 1.0000000000000 +1.7000000000000 1.0000000000000 +1.9000000000000 1.0000000000000 +2.1000000000000 1.0000000000000 +2.3000000000000 1.0000000000000 +2.5000000000000 1.0000000000000 +2.7000000000000 1.0000000000000 +2.9000000000000 1.0000000000000 +3.1000000000000 1.0000000000000 diff --git a/test/test_cartoon/grr_max.tl b/test/test_cartoon/grr_max.tl new file mode 100644 index 0000000..a4c9e19 --- /dev/null +++ b/test/test_cartoon/grr_max.tl @@ -0,0 +1,3 @@ +"grr v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/grr_min.tl b/test/test_cartoon/grr_min.tl new file mode 100644 index 0000000..a4c9e19 --- /dev/null +++ b/test/test_cartoon/grr_min.tl @@ -0,0 +1,3 @@ +"grr v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/grr_nm1.tl b/test/test_cartoon/grr_nm1.tl new file mode 100644 index 0000000..a4c9e19 --- /dev/null +++ b/test/test_cartoon/grr_nm1.tl @@ -0,0 +1,3 @@ +"grr v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/grr_nm2.tl b/test/test_cartoon/grr_nm2.tl new file mode 100644 index 0000000..a4c9e19 --- /dev/null +++ b/test/test_cartoon/grr_nm2.tl @@ -0,0 +1,3 @@ +"grr v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/gxx.xl b/test/test_cartoon/gxx.xl new file mode 100644 index 0000000..95949b2 --- /dev/null +++ b/test/test_cartoon/gxx.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 1.0000000000000 +-0.1000000000000 1.0000000000000 +0.1000000000000 1.0000000000000 +0.3000000000000 1.0000000000000 +0.5000000000000 1.0000000000000 +0.7000000000000 1.0000000000000 +0.9000000000000 1.0000000000000 +1.1000000000000 1.0000000000000 +1.3000000000000 1.0000000000000 +1.5000000000000 1.0000000000000 +1.7000000000000 1.0000000000000 +1.9000000000000 1.0000000000000 +2.1000000000000 1.0000000000000 +2.3000000000000 1.0000000000000 +2.5000000000000 1.0000000000000 +2.7000000000000 1.0000000000000 diff --git a/test/test_cartoon/gxx.yl b/test/test_cartoon/gxx.yl new file mode 100644 index 0000000..03bbfaa --- /dev/null +++ b/test/test_cartoon/gxx.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 1.0000000000000 +0.0000000000000 1.0000000000000 +0.2000000000000 1.0000000000000 diff --git a/test/test_cartoon/gxx.zl b/test/test_cartoon/gxx.zl new file mode 100644 index 0000000..0eabaae --- /dev/null +++ b/test/test_cartoon/gxx.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 1.0000000000000 +-2.9000000000000 1.0000000000000 +-2.7000000000000 1.0000000000000 +-2.5000000000000 1.0000000000000 +-2.3000000000000 1.0000000000000 +-2.1000000000000 1.0000000000000 +-1.9000000000000 1.0000000000000 +-1.7000000000000 1.0000000000000 +-1.5000000000000 1.0000000000000 +-1.3000000000000 1.0000000000000 +-1.1000000000000 1.0000000000000 +-0.9000000000000 1.0000000000000 +-0.7000000000000 1.0000000000000 +-0.5000000000000 1.0000000000000 +-0.3000000000000 1.0000000000000 +-0.1000000000000 1.0000000000000 +0.1000000000000 1.0000000000000 +0.3000000000000 1.0000000000000 +0.5000000000000 1.0000000000000 +0.7000000000000 1.0000000000000 +0.9000000000000 1.0000000000000 +1.1000000000000 1.0000000000000 +1.3000000000000 1.0000000000000 +1.5000000000000 1.0000000000000 +1.7000000000000 1.0000000000000 +1.9000000000000 1.0000000000000 +2.1000000000000 1.0000000000000 +2.3000000000000 1.0000000000000 +2.5000000000000 1.0000000000000 +2.7000000000000 1.0000000000000 +2.9000000000000 1.0000000000000 +3.1000000000000 1.0000000000000 diff --git a/test/test_cartoon/gxx_max.tl b/test/test_cartoon/gxx_max.tl new file mode 100644 index 0000000..101ffa1 --- /dev/null +++ b/test/test_cartoon/gxx_max.tl @@ -0,0 +1,3 @@ +"gxx v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/gxx_min.tl b/test/test_cartoon/gxx_min.tl new file mode 100644 index 0000000..101ffa1 --- /dev/null +++ b/test/test_cartoon/gxx_min.tl @@ -0,0 +1,3 @@ +"gxx v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/gxx_nm1.tl b/test/test_cartoon/gxx_nm1.tl new file mode 100644 index 0000000..101ffa1 --- /dev/null +++ b/test/test_cartoon/gxx_nm1.tl @@ -0,0 +1,3 @@ +"gxx v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/gxx_nm2.tl b/test/test_cartoon/gxx_nm2.tl new file mode 100644 index 0000000..101ffa1 --- /dev/null +++ b/test/test_cartoon/gxx_nm2.tl @@ -0,0 +1,3 @@ +"gxx v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/gxy.xl b/test/test_cartoon/gxy.xl new file mode 100644 index 0000000..9017626 --- /dev/null +++ b/test/test_cartoon/gxy.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 diff --git a/test/test_cartoon/gxy.yl b/test/test_cartoon/gxy.yl new file mode 100644 index 0000000..a68962e --- /dev/null +++ b/test/test_cartoon/gxy.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 0.0000000000000 +0.0000000000000 0.0000000000000 +0.2000000000000 0.0000000000000 diff --git a/test/test_cartoon/gxy.zl b/test/test_cartoon/gxy.zl new file mode 100644 index 0000000..7173a3f --- /dev/null +++ b/test/test_cartoon/gxy.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 0.0000000000000 +-2.9000000000000 0.0000000000000 +-2.7000000000000 0.0000000000000 +-2.5000000000000 0.0000000000000 +-2.3000000000000 0.0000000000000 +-2.1000000000000 0.0000000000000 +-1.9000000000000 0.0000000000000 +-1.7000000000000 0.0000000000000 +-1.5000000000000 0.0000000000000 +-1.3000000000000 0.0000000000000 +-1.1000000000000 0.0000000000000 +-0.9000000000000 0.0000000000000 +-0.7000000000000 0.0000000000000 +-0.5000000000000 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 +2.9000000000000 0.0000000000000 +3.1000000000000 0.0000000000000 diff --git a/test/test_cartoon/gxy_max.tl b/test/test_cartoon/gxy_max.tl new file mode 100644 index 0000000..db1b46f --- /dev/null +++ b/test/test_cartoon/gxy_max.tl @@ -0,0 +1,3 @@ +"gxy v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/gxy_min.tl b/test/test_cartoon/gxy_min.tl new file mode 100644 index 0000000..db1b46f --- /dev/null +++ b/test/test_cartoon/gxy_min.tl @@ -0,0 +1,3 @@ +"gxy v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/gxy_nm1.tl b/test/test_cartoon/gxy_nm1.tl new file mode 100644 index 0000000..db1b46f --- /dev/null +++ b/test/test_cartoon/gxy_nm1.tl @@ -0,0 +1,3 @@ +"gxy v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/gxy_nm2.tl b/test/test_cartoon/gxy_nm2.tl new file mode 100644 index 0000000..db1b46f --- /dev/null +++ b/test/test_cartoon/gxy_nm2.tl @@ -0,0 +1,3 @@ +"gxy v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/gxz.xl b/test/test_cartoon/gxz.xl new file mode 100644 index 0000000..9017626 --- /dev/null +++ b/test/test_cartoon/gxz.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 diff --git a/test/test_cartoon/gxz.yl b/test/test_cartoon/gxz.yl new file mode 100644 index 0000000..a68962e --- /dev/null +++ b/test/test_cartoon/gxz.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 0.0000000000000 +0.0000000000000 0.0000000000000 +0.2000000000000 0.0000000000000 diff --git a/test/test_cartoon/gxz.zl b/test/test_cartoon/gxz.zl new file mode 100644 index 0000000..7173a3f --- /dev/null +++ b/test/test_cartoon/gxz.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 0.0000000000000 +-2.9000000000000 0.0000000000000 +-2.7000000000000 0.0000000000000 +-2.5000000000000 0.0000000000000 +-2.3000000000000 0.0000000000000 +-2.1000000000000 0.0000000000000 +-1.9000000000000 0.0000000000000 +-1.7000000000000 0.0000000000000 +-1.5000000000000 0.0000000000000 +-1.3000000000000 0.0000000000000 +-1.1000000000000 0.0000000000000 +-0.9000000000000 0.0000000000000 +-0.7000000000000 0.0000000000000 +-0.5000000000000 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 +2.9000000000000 0.0000000000000 +3.1000000000000 0.0000000000000 diff --git a/test/test_cartoon/gxz_max.tl b/test/test_cartoon/gxz_max.tl new file mode 100644 index 0000000..7917a26 --- /dev/null +++ b/test/test_cartoon/gxz_max.tl @@ -0,0 +1,3 @@ +"gxz v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/gxz_min.tl b/test/test_cartoon/gxz_min.tl new file mode 100644 index 0000000..7917a26 --- /dev/null +++ b/test/test_cartoon/gxz_min.tl @@ -0,0 +1,3 @@ +"gxz v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/gxz_nm1.tl b/test/test_cartoon/gxz_nm1.tl new file mode 100644 index 0000000..7917a26 --- /dev/null +++ b/test/test_cartoon/gxz_nm1.tl @@ -0,0 +1,3 @@ +"gxz v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/gxz_nm2.tl b/test/test_cartoon/gxz_nm2.tl new file mode 100644 index 0000000..7917a26 --- /dev/null +++ b/test/test_cartoon/gxz_nm2.tl @@ -0,0 +1,3 @@ +"gxz v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/gyy.xl b/test/test_cartoon/gyy.xl new file mode 100644 index 0000000..95949b2 --- /dev/null +++ b/test/test_cartoon/gyy.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 1.0000000000000 +-0.1000000000000 1.0000000000000 +0.1000000000000 1.0000000000000 +0.3000000000000 1.0000000000000 +0.5000000000000 1.0000000000000 +0.7000000000000 1.0000000000000 +0.9000000000000 1.0000000000000 +1.1000000000000 1.0000000000000 +1.3000000000000 1.0000000000000 +1.5000000000000 1.0000000000000 +1.7000000000000 1.0000000000000 +1.9000000000000 1.0000000000000 +2.1000000000000 1.0000000000000 +2.3000000000000 1.0000000000000 +2.5000000000000 1.0000000000000 +2.7000000000000 1.0000000000000 diff --git a/test/test_cartoon/gyy.yl b/test/test_cartoon/gyy.yl new file mode 100644 index 0000000..03bbfaa --- /dev/null +++ b/test/test_cartoon/gyy.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 1.0000000000000 +0.0000000000000 1.0000000000000 +0.2000000000000 1.0000000000000 diff --git a/test/test_cartoon/gyy.zl b/test/test_cartoon/gyy.zl new file mode 100644 index 0000000..0eabaae --- /dev/null +++ b/test/test_cartoon/gyy.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 1.0000000000000 +-2.9000000000000 1.0000000000000 +-2.7000000000000 1.0000000000000 +-2.5000000000000 1.0000000000000 +-2.3000000000000 1.0000000000000 +-2.1000000000000 1.0000000000000 +-1.9000000000000 1.0000000000000 +-1.7000000000000 1.0000000000000 +-1.5000000000000 1.0000000000000 +-1.3000000000000 1.0000000000000 +-1.1000000000000 1.0000000000000 +-0.9000000000000 1.0000000000000 +-0.7000000000000 1.0000000000000 +-0.5000000000000 1.0000000000000 +-0.3000000000000 1.0000000000000 +-0.1000000000000 1.0000000000000 +0.1000000000000 1.0000000000000 +0.3000000000000 1.0000000000000 +0.5000000000000 1.0000000000000 +0.7000000000000 1.0000000000000 +0.9000000000000 1.0000000000000 +1.1000000000000 1.0000000000000 +1.3000000000000 1.0000000000000 +1.5000000000000 1.0000000000000 +1.7000000000000 1.0000000000000 +1.9000000000000 1.0000000000000 +2.1000000000000 1.0000000000000 +2.3000000000000 1.0000000000000 +2.5000000000000 1.0000000000000 +2.7000000000000 1.0000000000000 +2.9000000000000 1.0000000000000 +3.1000000000000 1.0000000000000 diff --git a/test/test_cartoon/gyy_max.tl b/test/test_cartoon/gyy_max.tl new file mode 100644 index 0000000..f0333a5 --- /dev/null +++ b/test/test_cartoon/gyy_max.tl @@ -0,0 +1,3 @@ +"gyy v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/gyy_min.tl b/test/test_cartoon/gyy_min.tl new file mode 100644 index 0000000..f0333a5 --- /dev/null +++ b/test/test_cartoon/gyy_min.tl @@ -0,0 +1,3 @@ +"gyy v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/gyy_nm1.tl b/test/test_cartoon/gyy_nm1.tl new file mode 100644 index 0000000..f0333a5 --- /dev/null +++ b/test/test_cartoon/gyy_nm1.tl @@ -0,0 +1,3 @@ +"gyy v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/gyy_nm2.tl b/test/test_cartoon/gyy_nm2.tl new file mode 100644 index 0000000..f0333a5 --- /dev/null +++ b/test/test_cartoon/gyy_nm2.tl @@ -0,0 +1,3 @@ +"gyy v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/gyz.xl b/test/test_cartoon/gyz.xl new file mode 100644 index 0000000..9017626 --- /dev/null +++ b/test/test_cartoon/gyz.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 diff --git a/test/test_cartoon/gyz.yl b/test/test_cartoon/gyz.yl new file mode 100644 index 0000000..a68962e --- /dev/null +++ b/test/test_cartoon/gyz.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 0.0000000000000 +0.0000000000000 0.0000000000000 +0.2000000000000 0.0000000000000 diff --git a/test/test_cartoon/gyz.zl b/test/test_cartoon/gyz.zl new file mode 100644 index 0000000..7173a3f --- /dev/null +++ b/test/test_cartoon/gyz.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 0.0000000000000 +-2.9000000000000 0.0000000000000 +-2.7000000000000 0.0000000000000 +-2.5000000000000 0.0000000000000 +-2.3000000000000 0.0000000000000 +-2.1000000000000 0.0000000000000 +-1.9000000000000 0.0000000000000 +-1.7000000000000 0.0000000000000 +-1.5000000000000 0.0000000000000 +-1.3000000000000 0.0000000000000 +-1.1000000000000 0.0000000000000 +-0.9000000000000 0.0000000000000 +-0.7000000000000 0.0000000000000 +-0.5000000000000 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 +2.9000000000000 0.0000000000000 +3.1000000000000 0.0000000000000 diff --git a/test/test_cartoon/gyz_max.tl b/test/test_cartoon/gyz_max.tl new file mode 100644 index 0000000..08c2ff2 --- /dev/null +++ b/test/test_cartoon/gyz_max.tl @@ -0,0 +1,3 @@ +"gyz v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/gyz_min.tl b/test/test_cartoon/gyz_min.tl new file mode 100644 index 0000000..08c2ff2 --- /dev/null +++ b/test/test_cartoon/gyz_min.tl @@ -0,0 +1,3 @@ +"gyz v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/gyz_nm1.tl b/test/test_cartoon/gyz_nm1.tl new file mode 100644 index 0000000..08c2ff2 --- /dev/null +++ b/test/test_cartoon/gyz_nm1.tl @@ -0,0 +1,3 @@ +"gyz v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/gyz_nm2.tl b/test/test_cartoon/gyz_nm2.tl new file mode 100644 index 0000000..08c2ff2 --- /dev/null +++ b/test/test_cartoon/gyz_nm2.tl @@ -0,0 +1,3 @@ +"gyz v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/gzz.xl b/test/test_cartoon/gzz.xl new file mode 100644 index 0000000..95949b2 --- /dev/null +++ b/test/test_cartoon/gzz.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 1.0000000000000 +-0.1000000000000 1.0000000000000 +0.1000000000000 1.0000000000000 +0.3000000000000 1.0000000000000 +0.5000000000000 1.0000000000000 +0.7000000000000 1.0000000000000 +0.9000000000000 1.0000000000000 +1.1000000000000 1.0000000000000 +1.3000000000000 1.0000000000000 +1.5000000000000 1.0000000000000 +1.7000000000000 1.0000000000000 +1.9000000000000 1.0000000000000 +2.1000000000000 1.0000000000000 +2.3000000000000 1.0000000000000 +2.5000000000000 1.0000000000000 +2.7000000000000 1.0000000000000 diff --git a/test/test_cartoon/gzz.yl b/test/test_cartoon/gzz.yl new file mode 100644 index 0000000..03bbfaa --- /dev/null +++ b/test/test_cartoon/gzz.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 1.0000000000000 +0.0000000000000 1.0000000000000 +0.2000000000000 1.0000000000000 diff --git a/test/test_cartoon/gzz.zl b/test/test_cartoon/gzz.zl new file mode 100644 index 0000000..0eabaae --- /dev/null +++ b/test/test_cartoon/gzz.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 1.0000000000000 +-2.9000000000000 1.0000000000000 +-2.7000000000000 1.0000000000000 +-2.5000000000000 1.0000000000000 +-2.3000000000000 1.0000000000000 +-2.1000000000000 1.0000000000000 +-1.9000000000000 1.0000000000000 +-1.7000000000000 1.0000000000000 +-1.5000000000000 1.0000000000000 +-1.3000000000000 1.0000000000000 +-1.1000000000000 1.0000000000000 +-0.9000000000000 1.0000000000000 +-0.7000000000000 1.0000000000000 +-0.5000000000000 1.0000000000000 +-0.3000000000000 1.0000000000000 +-0.1000000000000 1.0000000000000 +0.1000000000000 1.0000000000000 +0.3000000000000 1.0000000000000 +0.5000000000000 1.0000000000000 +0.7000000000000 1.0000000000000 +0.9000000000000 1.0000000000000 +1.1000000000000 1.0000000000000 +1.3000000000000 1.0000000000000 +1.5000000000000 1.0000000000000 +1.7000000000000 1.0000000000000 +1.9000000000000 1.0000000000000 +2.1000000000000 1.0000000000000 +2.3000000000000 1.0000000000000 +2.5000000000000 1.0000000000000 +2.7000000000000 1.0000000000000 +2.9000000000000 1.0000000000000 +3.1000000000000 1.0000000000000 diff --git a/test/test_cartoon/gzz_max.tl b/test/test_cartoon/gzz_max.tl new file mode 100644 index 0000000..ec282d1 --- /dev/null +++ b/test/test_cartoon/gzz_max.tl @@ -0,0 +1,3 @@ +"gzz v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/gzz_min.tl b/test/test_cartoon/gzz_min.tl new file mode 100644 index 0000000..ec282d1 --- /dev/null +++ b/test/test_cartoon/gzz_min.tl @@ -0,0 +1,3 @@ +"gzz v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/gzz_nm1.tl b/test/test_cartoon/gzz_nm1.tl new file mode 100644 index 0000000..ec282d1 --- /dev/null +++ b/test/test_cartoon/gzz_nm1.tl @@ -0,0 +1,3 @@ +"gzz v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/gzz_nm2.tl b/test/test_cartoon/gzz_nm2.tl new file mode 100644 index 0000000..ec282d1 --- /dev/null +++ b/test/test_cartoon/gzz_nm2.tl @@ -0,0 +1,3 @@ +"gzz v time +0.0000000000000 1.0000000000000 +0.0500000000000 1.0000000000000 diff --git a/test/test_cartoon/ham.xl b/test/test_cartoon/ham.xl new file mode 100644 index 0000000..9017626 --- /dev/null +++ b/test/test_cartoon/ham.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 diff --git a/test/test_cartoon/ham.yl b/test/test_cartoon/ham.yl new file mode 100644 index 0000000..a68962e --- /dev/null +++ b/test/test_cartoon/ham.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 0.0000000000000 +0.0000000000000 0.0000000000000 +0.2000000000000 0.0000000000000 diff --git a/test/test_cartoon/ham.zl b/test/test_cartoon/ham.zl new file mode 100644 index 0000000..7173a3f --- /dev/null +++ b/test/test_cartoon/ham.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 0.0000000000000 +-2.9000000000000 0.0000000000000 +-2.7000000000000 0.0000000000000 +-2.5000000000000 0.0000000000000 +-2.3000000000000 0.0000000000000 +-2.1000000000000 0.0000000000000 +-1.9000000000000 0.0000000000000 +-1.7000000000000 0.0000000000000 +-1.5000000000000 0.0000000000000 +-1.3000000000000 0.0000000000000 +-1.1000000000000 0.0000000000000 +-0.9000000000000 0.0000000000000 +-0.7000000000000 0.0000000000000 +-0.5000000000000 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 +2.9000000000000 0.0000000000000 +3.1000000000000 0.0000000000000 diff --git a/test/test_cartoon/ham_max.tl b/test/test_cartoon/ham_max.tl new file mode 100644 index 0000000..bc2ce18 --- /dev/null +++ b/test/test_cartoon/ham_max.tl @@ -0,0 +1,3 @@ +"ham v time +0.0000000000000 0.0000000000000 +0.0500000000000 -0.0000000981498 diff --git a/test/test_cartoon/ham_min.tl b/test/test_cartoon/ham_min.tl new file mode 100644 index 0000000..0e827d8 --- /dev/null +++ b/test/test_cartoon/ham_min.tl @@ -0,0 +1,3 @@ +"ham v time +0.0000000000000 0.0000000000000 +0.0500000000000 -0.0000146461957 diff --git a/test/test_cartoon/ham_nm1.tl b/test/test_cartoon/ham_nm1.tl new file mode 100644 index 0000000..8d7bee2 --- /dev/null +++ b/test/test_cartoon/ham_nm1.tl @@ -0,0 +1,3 @@ +"ham v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000063268182 diff --git a/test/test_cartoon/ham_nm2.tl b/test/test_cartoon/ham_nm2.tl new file mode 100644 index 0000000..e66c09e --- /dev/null +++ b/test/test_cartoon/ham_nm2.tl @@ -0,0 +1,3 @@ +"ham v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000075506772 diff --git a/test/test_cartoon/momx.xl b/test/test_cartoon/momx.xl new file mode 100644 index 0000000..9017626 --- /dev/null +++ b/test/test_cartoon/momx.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 diff --git a/test/test_cartoon/momx.yl b/test/test_cartoon/momx.yl new file mode 100644 index 0000000..a68962e --- /dev/null +++ b/test/test_cartoon/momx.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 0.0000000000000 +0.0000000000000 0.0000000000000 +0.2000000000000 0.0000000000000 diff --git a/test/test_cartoon/momx.zl b/test/test_cartoon/momx.zl new file mode 100644 index 0000000..7173a3f --- /dev/null +++ b/test/test_cartoon/momx.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 0.0000000000000 +-2.9000000000000 0.0000000000000 +-2.7000000000000 0.0000000000000 +-2.5000000000000 0.0000000000000 +-2.3000000000000 0.0000000000000 +-2.1000000000000 0.0000000000000 +-1.9000000000000 0.0000000000000 +-1.7000000000000 0.0000000000000 +-1.5000000000000 0.0000000000000 +-1.3000000000000 0.0000000000000 +-1.1000000000000 0.0000000000000 +-0.9000000000000 0.0000000000000 +-0.7000000000000 0.0000000000000 +-0.5000000000000 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 +2.9000000000000 0.0000000000000 +3.1000000000000 0.0000000000000 diff --git a/test/test_cartoon/momx_max.tl b/test/test_cartoon/momx_max.tl new file mode 100644 index 0000000..8718acd --- /dev/null +++ b/test/test_cartoon/momx_max.tl @@ -0,0 +1,3 @@ +"momx v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0056501295872 diff --git a/test/test_cartoon/momx_min.tl b/test/test_cartoon/momx_min.tl new file mode 100644 index 0000000..17dd107 --- /dev/null +++ b/test/test_cartoon/momx_min.tl @@ -0,0 +1,3 @@ +"momx v time +0.0000000000000 0.0000000000000 +0.0500000000000 -0.0056501295872 diff --git a/test/test_cartoon/momx_nm1.tl b/test/test_cartoon/momx_nm1.tl new file mode 100644 index 0000000..08c7e3f --- /dev/null +++ b/test/test_cartoon/momx_nm1.tl @@ -0,0 +1,3 @@ +"momx v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0002031367506 diff --git a/test/test_cartoon/momx_nm2.tl b/test/test_cartoon/momx_nm2.tl new file mode 100644 index 0000000..61c3f7e --- /dev/null +++ b/test/test_cartoon/momx_nm2.tl @@ -0,0 +1,3 @@ +"momx v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0005086164603 diff --git a/test/test_cartoon/momy.xl b/test/test_cartoon/momy.xl new file mode 100644 index 0000000..9017626 --- /dev/null +++ b/test/test_cartoon/momy.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 diff --git a/test/test_cartoon/momy.yl b/test/test_cartoon/momy.yl new file mode 100644 index 0000000..a68962e --- /dev/null +++ b/test/test_cartoon/momy.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 0.0000000000000 +0.0000000000000 0.0000000000000 +0.2000000000000 0.0000000000000 diff --git a/test/test_cartoon/momy.zl b/test/test_cartoon/momy.zl new file mode 100644 index 0000000..7173a3f --- /dev/null +++ b/test/test_cartoon/momy.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 0.0000000000000 +-2.9000000000000 0.0000000000000 +-2.7000000000000 0.0000000000000 +-2.5000000000000 0.0000000000000 +-2.3000000000000 0.0000000000000 +-2.1000000000000 0.0000000000000 +-1.9000000000000 0.0000000000000 +-1.7000000000000 0.0000000000000 +-1.5000000000000 0.0000000000000 +-1.3000000000000 0.0000000000000 +-1.1000000000000 0.0000000000000 +-0.9000000000000 0.0000000000000 +-0.7000000000000 0.0000000000000 +-0.5000000000000 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 +2.9000000000000 0.0000000000000 +3.1000000000000 0.0000000000000 diff --git a/test/test_cartoon/momy_max.tl b/test/test_cartoon/momy_max.tl new file mode 100644 index 0000000..f34f0ac --- /dev/null +++ b/test/test_cartoon/momy_max.tl @@ -0,0 +1,3 @@ +"momy v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0021114480510 diff --git a/test/test_cartoon/momy_min.tl b/test/test_cartoon/momy_min.tl new file mode 100644 index 0000000..371d649 --- /dev/null +++ b/test/test_cartoon/momy_min.tl @@ -0,0 +1,3 @@ +"momy v time +0.0000000000000 0.0000000000000 +0.0500000000000 -0.0021114480510 diff --git a/test/test_cartoon/momy_nm1.tl b/test/test_cartoon/momy_nm1.tl new file mode 100644 index 0000000..00f93ff --- /dev/null +++ b/test/test_cartoon/momy_nm1.tl @@ -0,0 +1,3 @@ +"momy v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000760376547 diff --git a/test/test_cartoon/momy_nm2.tl b/test/test_cartoon/momy_nm2.tl new file mode 100644 index 0000000..b26457b --- /dev/null +++ b/test/test_cartoon/momy_nm2.tl @@ -0,0 +1,3 @@ +"momy v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0002684175596 diff --git a/test/test_cartoon/momz.xl b/test/test_cartoon/momz.xl new file mode 100644 index 0000000..9017626 --- /dev/null +++ b/test/test_cartoon/momz.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 diff --git a/test/test_cartoon/momz.yl b/test/test_cartoon/momz.yl new file mode 100644 index 0000000..a68962e --- /dev/null +++ b/test/test_cartoon/momz.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 0.0000000000000 +0.0000000000000 0.0000000000000 +0.2000000000000 0.0000000000000 diff --git a/test/test_cartoon/momz.zl b/test/test_cartoon/momz.zl new file mode 100644 index 0000000..7173a3f --- /dev/null +++ b/test/test_cartoon/momz.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 0.0000000000000 +-2.9000000000000 0.0000000000000 +-2.7000000000000 0.0000000000000 +-2.5000000000000 0.0000000000000 +-2.3000000000000 0.0000000000000 +-2.1000000000000 0.0000000000000 +-1.9000000000000 0.0000000000000 +-1.7000000000000 0.0000000000000 +-1.5000000000000 0.0000000000000 +-1.3000000000000 0.0000000000000 +-1.1000000000000 0.0000000000000 +-0.9000000000000 0.0000000000000 +-0.7000000000000 0.0000000000000 +-0.5000000000000 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 +2.9000000000000 0.0000000000000 +3.1000000000000 0.0000000000000 diff --git a/test/test_cartoon/momz_max.tl b/test/test_cartoon/momz_max.tl new file mode 100644 index 0000000..00bc287 --- /dev/null +++ b/test/test_cartoon/momz_max.tl @@ -0,0 +1,3 @@ +"momz v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0056606931753 diff --git a/test/test_cartoon/momz_min.tl b/test/test_cartoon/momz_min.tl new file mode 100644 index 0000000..b710103 --- /dev/null +++ b/test/test_cartoon/momz_min.tl @@ -0,0 +1,3 @@ +"momz v time +0.0000000000000 0.0000000000000 +0.0500000000000 -0.0056606931753 diff --git a/test/test_cartoon/momz_nm1.tl b/test/test_cartoon/momz_nm1.tl new file mode 100644 index 0000000..9def35e --- /dev/null +++ b/test/test_cartoon/momz_nm1.tl @@ -0,0 +1,3 @@ +"momz v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0002524356174 diff --git a/test/test_cartoon/momz_nm2.tl b/test/test_cartoon/momz_nm2.tl new file mode 100644 index 0000000..e224795 --- /dev/null +++ b/test/test_cartoon/momz_nm2.tl @@ -0,0 +1,3 @@ +"momz v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0006916972235 diff --git a/test/test_cartoon/trK.xl b/test/test_cartoon/trK.xl new file mode 100644 index 0000000..9017626 --- /dev/null +++ b/test/test_cartoon/trK.xl @@ -0,0 +1,19 @@ + + +"Time = 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 diff --git a/test/test_cartoon/trK.yl b/test/test_cartoon/trK.yl new file mode 100644 index 0000000..a68962e --- /dev/null +++ b/test/test_cartoon/trK.yl @@ -0,0 +1,6 @@ + + +"Time = 0.0000000000000 +-0.2000000000000 0.0000000000000 +0.0000000000000 0.0000000000000 +0.2000000000000 0.0000000000000 diff --git a/test/test_cartoon/trK.zl b/test/test_cartoon/trK.zl new file mode 100644 index 0000000..7173a3f --- /dev/null +++ b/test/test_cartoon/trK.zl @@ -0,0 +1,35 @@ + + +"Time = 0.0000000000000 +-3.1000000000000 0.0000000000000 +-2.9000000000000 0.0000000000000 +-2.7000000000000 0.0000000000000 +-2.5000000000000 0.0000000000000 +-2.3000000000000 0.0000000000000 +-2.1000000000000 0.0000000000000 +-1.9000000000000 0.0000000000000 +-1.7000000000000 0.0000000000000 +-1.5000000000000 0.0000000000000 +-1.3000000000000 0.0000000000000 +-1.1000000000000 0.0000000000000 +-0.9000000000000 0.0000000000000 +-0.7000000000000 0.0000000000000 +-0.5000000000000 0.0000000000000 +-0.3000000000000 0.0000000000000 +-0.1000000000000 0.0000000000000 +0.1000000000000 0.0000000000000 +0.3000000000000 0.0000000000000 +0.5000000000000 0.0000000000000 +0.7000000000000 0.0000000000000 +0.9000000000000 0.0000000000000 +1.1000000000000 0.0000000000000 +1.3000000000000 0.0000000000000 +1.5000000000000 0.0000000000000 +1.7000000000000 0.0000000000000 +1.9000000000000 0.0000000000000 +2.1000000000000 0.0000000000000 +2.3000000000000 0.0000000000000 +2.5000000000000 0.0000000000000 +2.7000000000000 0.0000000000000 +2.9000000000000 0.0000000000000 +3.1000000000000 0.0000000000000 diff --git a/test/test_cartoon/trK_max.tl b/test/test_cartoon/trK_max.tl new file mode 100644 index 0000000..3e46b0b --- /dev/null +++ b/test/test_cartoon/trK_max.tl @@ -0,0 +1,3 @@ +"trK v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/trK_min.tl b/test/test_cartoon/trK_min.tl new file mode 100644 index 0000000..3e46b0b --- /dev/null +++ b/test/test_cartoon/trK_min.tl @@ -0,0 +1,3 @@ +"trK v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/trK_nm1.tl b/test/test_cartoon/trK_nm1.tl new file mode 100644 index 0000000..3e46b0b --- /dev/null +++ b/test/test_cartoon/trK_nm1.tl @@ -0,0 +1,3 @@ +"trK v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 diff --git a/test/test_cartoon/trK_nm2.tl b/test/test_cartoon/trK_nm2.tl new file mode 100644 index 0000000..3e46b0b --- /dev/null +++ b/test/test_cartoon/trK_nm2.tl @@ -0,0 +1,3 @@ +"trK v time +0.0000000000000 0.0000000000000 +0.0500000000000 0.0000000000000 -- cgit v1.2.3