summaryrefslogtreecommitdiff
path: root/tests/ref/vsynth2/dnxhd_720p_rd
diff options
context:
space:
mode:
Diffstat (limited to 'tests/ref/vsynth2/dnxhd_720p_rd')
-rw-r--r--tests/ref/vsynth2/dnxhd_720p_rd4
1 files changed, 0 insertions, 4 deletions
diff --git a/tests/ref/vsynth2/dnxhd_720p_rd b/tests/ref/vsynth2/dnxhd_720p_rd
deleted file mode 100644
index 2d5c4d5509..0000000000
--- a/tests/ref/vsynth2/dnxhd_720p_rd
+++ /dev/null
@@ -1,4 +0,0 @@
-092ffb7b8cf3c11556bb05dbb8b476ac *./tests/data/vsynth2/dnxhd-720p-rd.dnxhd
-2293760 ./tests/data/vsynth2/dnxhd-720p-rd.dnxhd
-33547ca318acff9448cba719cb99296d *./tests/data/dnxhd_720p_rd.vsynth2.out.yuv
-stddev: 1.32 PSNR: 45.66 MAXDIFF: 22 bytes: 760320/ 7603200